Knowledge

Network on a chip

Source 📝

1152: 945: 882: 45: 1253:
In a multi-core system, connected by NoC, coherency messages and cache miss requests have to pass switches. Accordingly, switches can be augmented with simple tracking and forwarding elements to detect which cache blocks will be requested in the future by which cores. Then, the forwarding elements
1713:
Slyusar V. I., Slyusar D.V. Pyramidal design of nanoantennas array. // VIII International Conference on Antenna Theory and Techniques (ICATT'11). - Kyiv, Ukraine. - National Technical University of Ukraine "Kyiv Polytechnic Institute". - September 20–23, 2011. - Pp. 140–142.
1218:
Many challenging research problems remain to be solved at all levels, from the physical link level through the network level, and all the way up to the system architecture and application software. The first dedicated research symposium on networks on chip was held at
1262:
NoC development and studies require comparing different proposals and options. NoC traffic patterns are under development to help such evaluations. Existing NoC benchmarks include NoCBench and MCSL NoC Traffic Patterns.
1198:
would be necessary to achieve microsecond precision, a degree that is rarely needed in practice for end users (sound or video jitter need only tenth of milliseconds latency guarantee). Another motivation for NoC-level
1002:
The topology determines the physical layout and connections between nodes and channels. The message traverses hops, and each hop's channel length depends on the topology. The topology significantly influences both
1796:
Marcello Coppola, Miltos D. Grammatikakis, Riccardo Locatelli, Giuseppe Maruccia, Lorenzo Pieralisi, "Design of Cost-Efficient Interconnect Processing Units: Spidergon STNoC", CRC Press, 2008,
2027:
Design Automation for Embedded Systems (Springer), "Application driven network-on-chip architecture exploration & refinement for a complex SoC", June 2011, Volume 15, Issue 2, pp 133–158,
853:-based design. Preceding researches proposed the design that routes data packets instead of routing the wires. Then, the concept of "network on chips" was proposed in 2002. NoCs improve the 1007:
and power consumption. Furthermore, since the topology determines the number of alternative paths between nodes, it affects the network traffic distribution, and hence the
4281: 3310: 561: 1224: 2282: 1501:. Association for Computing Machinery, ACM Special Interest Group on Design Automation. New York, N.Y.: Association for Computing Machinery. 2001. 1175:. Real-time computation, including audio and video playback, is one reason for providing QoS support. However, current system implementations like 4225: 1726: 3421: 2604: 1967: 1926: 3123: 2031: 2401: 3280: 2846: 2663: 3634: 2059: 2626: 1325: 921: 668: 1677: 3275: 4380: 3347: 2121: 1254:
multicast any requested block to all the cores that may request the block in the future. This mechanism reduces cache miss rate.
1062: 3629: 3100: 1215:
on the level of service that a user receives, a feature that may be deemed desirable by some corporate or government clients.
4536: 1943: 1902: 1875: 1848: 1801: 1595: 1471: 1717: 1499:
Proceedings, 2001 Design Automation Conference : 38th DAC: Las Vegas Convention Center, Las Vegas, NV, June 18-22, 2001
4044: 3168: 2431: 2275: 1976: 1734: 1693: 1565: 1477: 4218: 4054: 3195: 1020: 991: 1818: 2322: 2239: 551: 280: 1776: 4385: 3362: 3190: 3163: 2542: 2218: 1506: 1417: 1369: 755: 727: 4420: 4303: 4177: 3740: 2633: 2599: 2594: 2513: 2478: 625: 208: 1977:"Tree-based scheme for reducing shared cache miss rate leveraging regional, statistical and temporal similarities" 1735:"Tree-based scheme for reducing shared cache miss rate leveraging regional, statistical and temporal similarities" 4571: 4152: 4049: 3450: 3357: 3158: 2379: 2268: 2143: 521: 4551: 4211: 3178: 2897: 2332: 2052: 511: 1194:
applications the service quality of existing on-chip interconnect infrastructure is sufficient, and dedicated
506: 4375: 3352: 3200: 3173: 3034: 2648: 2609: 2466: 2234: 2149: 1620: 661: 620: 137: 4561: 4541: 3789: 3551: 3027: 2988: 2643: 2638: 2572: 2384: 1661: 1309: 1112: 466: 310: 257: 72: 501: 4566: 3416: 3113: 2811: 2508: 2032:
http://www.arteris.com/hs-fs/hub/48858/file-14363521-pdf/docs/springer-appdrivennocarchitecture8.5x11.pdf
777: 956: 893: 4531: 4286: 4066: 3713: 3130: 2621: 2589: 2359: 2347: 2327: 1530: 630: 536: 531: 496: 295: 193: 132: 4546: 4481: 4313: 4157: 4120: 4110: 2498: 2206: 2045: 1315: 1284: 1241:
The possible way to increasing the performance of NoC is use wireless communication channels between
1028: 218: 4450: 4298: 4276: 4250: 4172: 3579: 3515: 3492: 3342: 3304: 3140: 3090: 3085: 2562: 2456: 2364: 2223: 2100: 1456:
Proceedings Design, Automation and Test in Europe Conference and Exhibition 2000 (Cat. No. PR00537)
1292: 1039: 654: 556: 516: 23: 414: 4556: 4435: 4125: 3908: 3802: 3766: 3683: 3667: 3509: 3298: 3257: 3245: 3108: 3022: 2943: 2708: 2369: 2312: 2088: 1212: 637: 456: 223: 157: 112: 1079:
of interconnections in the communications subsystem yield several improvements over traditional
4330: 4325: 3931: 3903: 3813: 3778: 3527: 3521: 3503: 3237: 3231: 3135: 3039: 2930: 2869: 2731: 2374: 1291:
platform services to enable advanced features in modern heterogeneous applications on a single
1204: 1123:
in comparison with previous communication architectures (e.g., dedicated point-to-point signal
541: 526: 441: 845:
In 2000s, researchers had started to propose a type of on-chip interconnection in the form of
4471: 4415: 4407: 4260: 4242: 4234: 4105: 4014: 3760: 3472: 3290: 3049: 3017: 2975: 2887: 2688: 2503: 2493: 2483: 2473: 2443: 2426: 2291: 2201: 2028: 1076: 1058: 1008: 1004: 913: 862: 797: 765: 642: 461: 431: 320: 275: 1892: 1211:
infrastructure. In such instances, hardware QoS logic enables the service provider to make
4440: 4363: 4347: 4135: 4071: 3657: 3379: 3269: 3216: 2748: 2461: 2317: 2299: 2184: 1644:"Balancing On-Chip Network Latency in Multi-Application Mapping for Chip-Multiprocessors". 1330: 1228: 1220: 917: 835: 409: 290: 8: 4576: 4488: 4182: 4167: 3987: 3838: 3820: 3784: 3772: 3426: 3373: 3150: 3066: 2948: 2803: 2698: 2557: 2178: 1288: 1191: 979: 446: 315: 305: 300: 152: 97: 87: 4498: 4466: 4255: 4039: 4031: 3883: 3858: 3662: 3537: 3061: 3002: 2882: 2614: 2342: 1961: 1920: 1524: 1375: 1235: 1200: 1160: 1140: 1100: 1035: 983: 805: 769: 285: 238: 213: 102: 92: 4342: 3992: 3959: 3875: 3807: 3708: 3698: 3688: 3619: 3614: 3609: 3532: 3367: 3327: 2960: 2910: 2860: 2836: 2718: 2658: 2653: 2535: 2451: 2172: 1949: 1939: 1908: 1898: 1881: 1871: 1854: 1844: 1797: 1601: 1591: 1512: 1502: 1467: 1272: 1050: 1032: 987: 582: 198: 107: 82: 4476: 4390: 4318: 4162: 4095: 4081: 3936: 3843: 3797: 3604: 3599: 3594: 3589: 3584: 3574: 3444: 3411: 3322: 3317: 3226: 3078: 3073: 3056: 3044: 2983: 2547: 2525: 2411: 2389: 2307: 2244: 2211: 2068: 1988: 1746: 1557: 1459: 1385: 1352: 1280: 1168: 1108: 858: 846: 839: 820: 809: 785: 781: 738: 695: 341: 330: 228: 188: 172: 1827: 1715: 1187:
are able to achieve sub-millisecond real-time computing without special hardware.
4510: 4505: 4076: 4061: 4009: 3913: 3888: 3725: 3718: 3569: 3564: 3559: 3498: 3406: 3396: 3118: 2953: 2905: 2668: 2552: 2520: 2421: 2416: 2337: 2229: 2155: 2111: 2106: 1933: 1721: 1665: 1208: 1128: 1084: 1080: 850: 832: 828: 801: 793: 577: 358: 233: 142: 77: 31: 1689: 861:
of complex SoCs compared to other communication subsystem designs. They are an
4493: 4445: 4308: 4187: 4021: 4004: 3997: 3893: 3750: 3487: 3401: 3332: 2915: 2877: 2826: 2821: 2816: 2530: 2354: 2249: 2116: 2083: 1996: 1893:
Giorgios Dimitrakopoulos; Anastasios Psarras; Ioannis Seitanidis (2014-08-27).
1811: 1754: 1271:
An interconnect processing unit (IPU) is an on-chip communication network with
1195: 1132: 1072: 1066: 925: 761: 587: 393: 368: 363: 337: 326: 203: 167: 162: 122: 60: 1992: 1750: 1545: 1451: 4525: 3982: 3898: 2938: 2920: 2713: 2406: 1953: 1912: 1885: 1858: 1605: 1516: 1463: 1024: 824: 546: 451: 436: 378: 127: 117: 2841: 1203:(QoS) is to support multiple concurrent users sharing resources of a single 4192: 4130: 3946: 3923: 3735: 3456: 2394: 1768: 929: 491: 388: 243: 3977: 3941: 3652: 3624: 3482: 3337: 2260: 2037: 1120: 1069:
for a discussion of wiring requirements for point-to-point connections).
1054: 1027:. For large designs, in particular, this has several limitations from a 854: 4203: 2016:
NoCS 2007 - The 1st ACM/IEEE International Symposium on Networks-on-Chip
1023:
connections, with one wire dedicated to each signal. This results in a
944: 881: 4293: 3863: 3853: 3848: 3830: 3730: 3703: 2965: 2798: 2768: 2488: 1172: 1164: 1151: 1116: 813: 426: 383: 373: 1895:
Microarchitecture of Network-on-Chip Routers: A Designer's Perspective
1822: 1227:
International Symposium on Networks-on-Chip was held in April 2008 at
4425: 3954: 3951: 3693: 2763: 2741: 1561: 1452:"A generic architecture for on-chip packet-switched interconnections" 1136: 1104: 1043: 866: 773: 591: 147: 2021:
NoCS 2008 - The 2nd IEEE International Symposium on Networks-on-Chip
1934:
Natalie Enright Jerger; Tushar Krishna; Li-Shiuan Peh (2017-06-19).
1865: 44: 4430: 4368: 3969: 2788: 1974: 1732: 1276: 1242: 1053:, as signal propagation in wires across the chip requires multiple 976: 912:
NoCs can span synchronous and asynchronous clock domains, known as
1841:
Network-on-chip: the Next Generation of System-on-Chip Integration
1588:
Network-on-chip: the Next Generation of System-on-Chip Integration
2778: 2736: 2093: 1866:
Sheng Ma; Libo Huang; Mingche Lai; Wei Shi; Zhiying Wang (2014).
1304: 1180: 1176: 1096: 1095:
The wires in the links of the network-on-chip are shared by many
1038:
in the number of interconnections. The wires occupy much of the
789: 2015: 1658: 1049:
technology, interconnects dominate both performance and dynamic
4335: 2793: 2758: 2723: 2020: 1868:
Networks-on-Chip: From Implementations to Programming Paradigms
2010: 1409: 1279:
components which jointly implement key functions of different
719: 3251: 2783: 2753: 1238:
and devices comprising an optical network on a chip (ONoC).
1115:
keeps growing, a NoC provides enhanced performance (such as
4115: 3263: 3183: 2773: 1400: 1391: 1320: 1163:(QoS), namely achieve the various requirements in terms of 1124: 1046: 710: 701: 16:
Electronic communication subsystem on an integrated circuit
1358: 1349:
This article uses the convention that "NoC" is pronounced
865:, with projections for large growth in the near future as 744: 2703: 2693: 1761: 1621:"Network-on-chip (NoC) interconnect topologies explained" 1184: 928:
or functional unit on the System-on-Chip to have its own
1815: 849:
networks in order to address the scalability issues of
1590:(1st ed.). Boca Raton, FL: CRC Press. p. 3. 1283:
programming models through a set of communication and
788:). The modules on the IC are typically semiconductor 1458:. Paris, France: IEEE Comput. Soc. pp. 250–256. 1418: 1406: 1397: 1394: 1388: 1370: 1361: 1355: 1019:
Traditionally, ICs have been designed with dedicated
756: 747: 741: 728: 716: 707: 704: 698: 842:, many of which are still experimental as of 2018. 1403: 1107:in the NoC can operate simultaneously on different 713: 1838: 1585: 827:and brings notable improvements over conventional 1159:Some researchers think that NoCs need to support 819:NoC technology applies the theory and methods of 4523: 1870:(1st ed.). Amsterdam, NL: Morgan Kaufmann. 1690:"Inter/Intra-Chip Optical Network Bibliography-" 1543: 1374:. Therefore, it uses the convention "a" for the 1839:Kundu, Santanu; Chattopadhyay, Santanu (2014). 1828:http://www.sigda.org/newsletter/2006/060415.txt 1586:Kundu, Santanu; Chattopadhyay, Santanu (2014). 1449: 1266: 1139:must be designed in such a way that they offer 1975:Marzieh Lenjani; Mahmoud Reza Hashemi (2014). 1733:Marzieh Lenjani; Mahmoud Reza Hashemi (2014). 1090: 4219: 2276: 2053: 986:(SFNs) to limit the number, length, area and 662: 1143:and can hence utilize the potential of NoC. 3281:Computer performance by orders of magnitude 1843:(1st ed.). Boca Raton, FL: CRC Press. 1544:Benini, L.; De Micheli, G. (January 2002). 869:computer architectures become more common. 4226: 4212: 2290: 2283: 2269: 2067: 2060: 2046: 1966:: CS1 maint: location missing publisher ( 1925:: CS1 maint: location missing publisher ( 1326:Globally asynchronous, locally synchronous 1245:— named wireless network on chip (WiNoC). 1234:Research has been conducted on integrated 922:globally asynchronous, locally synchronous 669: 655: 4233: 1382:NoC"). Other sources may pronounce it as 924:electronics architectures, allowing each 1938:(2nd ed.). San Rafael, California. 1150: 1546:"Networks on chips: a new SoC paradigm" 4524: 2025:Jean-Jacques Lecler, Gilles Baillieu, 1981:IET Computers & Digital Techniques 1739:IET Computers & Digital Techniques 792:schematizing various functions of the 4207: 2264: 2041: 1618: 3252:Floating-point operations per second 1682: 939: 876: 1146: 13: 1832: 1826:The original text can be found at 1579: 1450:Guerrier, P.; Greiner, A. (2000). 1111:. Therefore, as the complexity of 975:NoC architectures typically model 14: 4588: 4304:Hardware random number generation 2004: 838:. Networks-on-chip come in many 4178:Semiconductor device fabrication 1384: 1351: 1248: 1190:This may indicate that for many 1065:to accrue on the circuit. (See 943: 935: 880: 737: 694: 43: 4153:History of general-purpose CPUs 2380:Nondeterministic Turing machine 1790: 1779:from the original on 2017-12-25 1707: 1696:from the original on 2015-09-23 1568:from the original on 2022-10-22 1480:from the original on 2022-10-22 2333:Deterministic finite automaton 1897:(1st ed.). New York, NY. 1671: 1652: 1637: 1619:Staff, E. D. N. (2023-07-26). 1612: 1537: 1491: 1443: 1343: 1: 3124:Simultaneous and heterogenous 2029:doi:10.1007/s10617-011-9075-5 1678:On-Chip Networks Bibliography 1436: 1257: 990:of interconnection wires and 4537:Electronic design automation 3808:Integrated memory controller 3790:Translation lookaside buffer 2989:Memory dependence prediction 2432:Random-access stored program 2385:Probabilistic Turing machine 1310:Electronic design automation 1267:Interconnect processing unit 872: 804:. The network on chip is a 7: 3264:Synaptic updates per second 1298: 1091:Parallelism and scalability 1014: 997: 857:of systems-on-chip and the 836:communication architectures 776:"), most typically between 10: 4593: 3668:Heterogeneous architecture 2590:Orthogonal instruction set 2360:Alternating Turing machine 2348:Quantum cellular automaton 1285:synchronization primitives 1223:, in May 2007. The second 1131:, or segmented buses with 1011:and performance achieved. 4459: 4406: 4356: 4299:Digital signal processing 4269: 4241: 4158:Microprocessor chronology 4145: 4121:Dynamic frequency scaling 4094: 4030: 3968: 3922: 3874: 3829: 3749: 3676: 3645: 3550: 3471: 3435: 3389: 3289: 3276:Cache performance metrics 3215: 3149: 3099: 3010: 3001: 2974: 2929: 2896: 2868: 2859: 2679: 2582: 2571: 2442: 2298: 2194: 2165: 2130: 2076: 2011:DATE 2006 workshop on NoC 1993:10.1049/iet-cdt.2011.0066 1751:10.1049/iet-cdt.2011.0066 1316:Integrated circuit design 1103:is achieved, because all 1063:resistance and inductance 1057:. This also allows more 796:, and are designed to be 522:Exponential random (ERGM) 189:Informational (computing) 4251:Universal Turing machine 4173:Hardware security module 3516:Digital signal processor 3493:Graphics processing unit 3305:Graphics processing unit 2224:Digital signal processor 2101:Graphics processing unit 1464:10.1109/DATE.2000.840047 1336: 1031:viewpoint. It requires 766:communications subsystem 209:Scientific collaboration 4309:Artificial intelligence 4126:Dynamic voltage scaling 3909:Memory address register 3803:Branch target predictor 3767:Address generation unit 3510:Physics processing unit 3299:Central processing unit 3258:Transactions per second 3246:Instructions per second 3169:Array processing (SIMT) 2313:Stored-program computer 1378:corresponding to NoC (" 1155:WiNoC in the 3D-chiplet 638:Category:Network theory 158:Preferential attachment 4572:Communication circuits 4331:Custom hardware attack 3932:Hardwired control unit 3814:Memory management unit 3779:Memory management unit 3528:Secure cryptoprocessor 3522:Tensor Processing Unit 3504:Vision processing unit 3238:Cycles per instruction 3232:Instructions per cycle 3179:Associative processing 2870:Instruction pipelining 2292:Processor technologies 1529:: CS1 maint: others ( 1213:contractual guarantees 1156: 1025:dense network topology 527:Random geometric (RGG) 4552:Hardware acceleration 4261:Distributed computing 4235:Hardware acceleration 4015:Sum-addressed decoder 3761:Arithmetic logic unit 2888:Classic RISC pipeline 2842:Epiphany architecture 2689:Motorola 68000 series 2240:List of SoC suppliers 1814:'s column in the ACM 1167:, end-to-end delays, 1154: 1059:parasitic capacitance 920:logic. NoCs support 914:clock domain crossing 643:Category:Graph theory 4364:High-level synthesis 4136:Performance per watt 3714:replacement policies 3380:Package on a package 3270:Performance per watt 3174:Pipelined processing 2944:Tomasulo's algorithm 2749:Clipper architecture 2605:Application-specific 2318:Finite-state machine 2185:Package on a package 1999:on December 9, 2018. 1757:on December 9, 2018. 1331:Network architecture 1229:Newcastle University 1221:Princeton University 980:small-world networks 812:network between SoC 4562:Computer networking 4542:Integrated circuits 4489:Digital electronics 4441:In-memory computing 4421:Transport triggered 4168:Digital electronics 3821:Instruction decoder 3773:Floating-point unit 3427:Soft microprocessor 3374:System in a package 2949:Reservation station 2479:Transport-triggered 2179:System in a package 1425:and therefore use " 1205:chip multiprocessor 984:scale-free networks 916:, or use unclocked 863:emerging technology 821:computer networking 447:Degree distribution 98:Community structure 4567:Parallel computing 4499:Hardware emulation 4467:Programmable logic 4256:Parallel computing 4040:Integrated circuit 3884:Processor register 3538:Baseband processor 2883:Operand forwarding 2343:Cellular automaton 2144:Multiprocessor SoC 1720:2019-07-17 at the 1664:2008-09-01 at the 1376:indefinite article 1236:optical waveguides 1201:quality of service 1161:quality of service 1157: 1113:integrated systems 1099:. A high level of 955:. You can help by 892:. You can help by 840:network topologies 770:integrated circuit 631:Network scientists 557:Soft configuration 4532:Network on a chip 4519: 4518: 4396:Network on a chip 4201: 4200: 4090: 4089: 3709:Instruction cache 3699:Scratchpad memory 3546: 3545: 3533:Network processor 3462:Network on a chip 3417:Ultra-low-voltage 3368:Multi-chip module 3211: 3210: 2997: 2996: 2984:Branch prediction 2961:Register renaming 2855: 2854: 2837:VISC architecture 2659:Quantum computing 2654:VISC architecture 2536:Secondary storage 2452:Microarchitecture 2412:Register machines 2258: 2257: 2173:Multi-chip module 2138:Network on a chip 1945:978-1-62705-996-1 1904:978-1-4614-4301-8 1877:978-0-12-801178-2 1850:978-1-4665-6527-2 1812:Avinoam Kolodny's 1802:978-1-4200-4471-3 1597:978-1-4665-6527-2 1473:978-0-7695-0537-4 1141:large parallelism 1051:power dissipation 1009:network bandwidth 988:power consumption 973: 972: 910: 909: 683:network on a chip 679: 678: 599: 598: 507:Bianconi–BarabĂĄsi 401: 400: 219:Artificial neural 194:Telecommunication 4584: 4547:System on a chip 4511:Embedded systems 4391:System on a chip 4228: 4221: 4214: 4205: 4204: 4163:Processor design 4055:Power management 3937:Instruction unit 3798:Branch predictor 3747: 3746: 3445:System on a chip 3387: 3386: 3227:Transistor count 3151:Flynn's taxonomy 3008: 3007: 2866: 2865: 2669:Addressing modes 2580: 2579: 2526:Memory hierarchy 2390:Hypercomputation 2308:Abstract machine 2285: 2278: 2271: 2262: 2261: 2245:Mobile computing 2230:Embedded systems 2150:Programmable SoC 2069:System on a chip 2062: 2055: 2048: 2039: 2038: 2000: 1995:. Archived from 1971: 1965: 1957: 1936:On-chip Networks 1930: 1924: 1916: 1889: 1862: 1804: 1794: 1788: 1787: 1785: 1784: 1765: 1759: 1758: 1753:. Archived from 1730: 1724: 1711: 1705: 1704: 1702: 1701: 1686: 1680: 1675: 1669: 1656: 1650: 1649: 1641: 1635: 1634: 1632: 1631: 1616: 1610: 1609: 1583: 1577: 1576: 1574: 1573: 1562:10.1109/2.976921 1541: 1535: 1534: 1528: 1520: 1495: 1489: 1488: 1486: 1485: 1447: 1430: 1422: 1416: 1415: 1412: 1411: 1408: 1405: 1402: 1399: 1396: 1393: 1390: 1368: 1367: 1364: 1363: 1360: 1357: 1347: 1147:Current research 1087:-based systems. 1040:area of the chip 968: 965: 947: 940: 905: 902: 884: 877: 859:power efficiency 847:packet switching 810:packet switching 800:in the sense of 782:system on a chip 754: 753: 750: 749: 746: 743: 732: 726: 725: 722: 721: 718: 715: 712: 709: 706: 703: 700: 671: 664: 657: 542:Stochastic block 532:Hyperbolic (HGN) 481: 480: 344: 333: 265: 264: 173:Social influence 47: 19: 18: 4592: 4591: 4587: 4586: 4585: 4583: 4582: 4581: 4522: 4521: 4520: 4515: 4506:Logic synthesis 4455: 4402: 4357:Implementations 4352: 4265: 4237: 4232: 4202: 4197: 4183:Tick–tock model 4141: 4097: 4086: 4026: 4010:Address decoder 3964: 3918: 3914:Program counter 3889:Status register 3870: 3825: 3785:Load–store unit 3752: 3745: 3672: 3641: 3542: 3499:Image processor 3474: 3467: 3437: 3431: 3407:Microcontroller 3397:Embedded system 3385: 3285: 3218: 3207: 3145: 3095: 2993: 2970: 2954:Re-order buffer 2925: 2906:Data dependency 2892: 2851: 2681: 2675: 2574: 2573:Instruction set 2567: 2553:Multiprocessing 2521:Cache hierarchy 2514:Register/memory 2438: 2338:Queue automaton 2294: 2289: 2259: 2254: 2190: 2161: 2156:Microcontroller 2126: 2112:Media processor 2107:Image processor 2072: 2066: 2007: 1959: 1958: 1946: 1918: 1917: 1905: 1878: 1851: 1835: 1833:Further reading 1825: 1808: 1807: 1795: 1791: 1782: 1780: 1767: 1766: 1762: 1731: 1727: 1722:Wayback Machine 1712: 1708: 1699: 1697: 1688: 1687: 1683: 1676: 1672: 1666:Wayback Machine 1657: 1653: 1643: 1642: 1638: 1629: 1627: 1617: 1613: 1598: 1584: 1580: 1571: 1569: 1542: 1538: 1522: 1521: 1509: 1497: 1496: 1492: 1483: 1481: 1474: 1448: 1444: 1439: 1434: 1433: 1420: 1387: 1383: 1354: 1350: 1348: 1344: 1339: 1301: 1269: 1260: 1251: 1209:cloud computing 1149: 1093: 1029:physical design 1017: 1000: 969: 963: 960: 953:needs expansion 938: 906: 900: 897: 890:needs expansion 875: 802:network science 794:computer system 740: 736: 730: 697: 693: 687:network-on-chip 675: 613: 578:Boolean network 552:Maximum entropy 502:BarabĂĄsi–Albert 419: 336: 325: 113:Controllability 78:Complex network 65: 52: 51: 50: 49: 48: 32:Network science 17: 12: 11: 5: 4590: 4580: 4579: 4574: 4569: 4564: 4559: 4557:Network theory 4554: 4549: 4544: 4539: 4534: 4517: 4516: 4514: 4513: 4508: 4503: 4502: 4501: 4494:Virtualization 4491: 4486: 4485: 4484: 4479: 4469: 4463: 4461: 4457: 4456: 4454: 4453: 4448: 4446:Systolic array 4443: 4438: 4433: 4428: 4423: 4418: 4412: 4410: 4404: 4403: 4401: 4400: 4399: 4398: 4388: 4383: 4378: 4373: 4372: 4371: 4360: 4358: 4354: 4353: 4351: 4350: 4345: 4340: 4339: 4338: 4328: 4326:Machine vision 4323: 4322: 4321: 4311: 4306: 4301: 4296: 4291: 4290: 4289: 4284: 4273: 4271: 4267: 4266: 4264: 4263: 4258: 4253: 4247: 4245: 4239: 4238: 4231: 4230: 4223: 4216: 4208: 4199: 4198: 4196: 4195: 4190: 4188:Pin grid array 4185: 4180: 4175: 4170: 4165: 4160: 4155: 4149: 4147: 4143: 4142: 4140: 4139: 4133: 4128: 4123: 4118: 4113: 4108: 4102: 4100: 4092: 4091: 4088: 4087: 4085: 4084: 4079: 4074: 4069: 4064: 4059: 4058: 4057: 4052: 4047: 4036: 4034: 4028: 4027: 4025: 4024: 4022:Barrel shifter 4019: 4018: 4017: 4012: 4005:Binary decoder 4002: 4001: 4000: 3990: 3985: 3980: 3974: 3972: 3966: 3965: 3963: 3962: 3957: 3949: 3944: 3939: 3934: 3928: 3926: 3920: 3919: 3917: 3916: 3911: 3906: 3901: 3896: 3894:Stack register 3891: 3886: 3880: 3878: 3872: 3871: 3869: 3868: 3867: 3866: 3861: 3851: 3846: 3841: 3835: 3833: 3827: 3826: 3824: 3823: 3818: 3817: 3816: 3805: 3800: 3795: 3794: 3793: 3787: 3776: 3770: 3764: 3757: 3755: 3744: 3743: 3738: 3733: 3728: 3723: 3722: 3721: 3716: 3711: 3706: 3701: 3696: 3686: 3680: 3678: 3674: 3673: 3671: 3670: 3665: 3660: 3655: 3649: 3647: 3643: 3642: 3640: 3639: 3638: 3637: 3627: 3622: 3617: 3612: 3607: 3602: 3597: 3592: 3587: 3582: 3577: 3572: 3567: 3562: 3556: 3554: 3548: 3547: 3544: 3543: 3541: 3540: 3535: 3530: 3525: 3519: 3513: 3507: 3501: 3496: 3490: 3488:AI accelerator 3485: 3479: 3477: 3469: 3468: 3466: 3465: 3459: 3454: 3451:Multiprocessor 3448: 3441: 3439: 3433: 3432: 3430: 3429: 3424: 3419: 3414: 3409: 3404: 3402:Microprocessor 3399: 3393: 3391: 3390:By application 3384: 3383: 3377: 3371: 3365: 3360: 3355: 3350: 3345: 3340: 3335: 3333:Tile processor 3330: 3325: 3320: 3315: 3314: 3313: 3302: 3295: 3293: 3287: 3286: 3284: 3283: 3278: 3273: 3267: 3261: 3255: 3249: 3243: 3242: 3241: 3229: 3223: 3221: 3213: 3212: 3209: 3208: 3206: 3205: 3204: 3203: 3193: 3188: 3187: 3186: 3181: 3176: 3171: 3161: 3155: 3153: 3147: 3146: 3144: 3143: 3138: 3133: 3128: 3127: 3126: 3121: 3119:Hyperthreading 3111: 3105: 3103: 3101:Multithreading 3097: 3096: 3094: 3093: 3088: 3083: 3082: 3081: 3071: 3070: 3069: 3064: 3054: 3053: 3052: 3047: 3037: 3032: 3031: 3030: 3025: 3014: 3012: 3005: 2999: 2998: 2995: 2994: 2992: 2991: 2986: 2980: 2978: 2972: 2971: 2969: 2968: 2963: 2958: 2957: 2956: 2951: 2941: 2935: 2933: 2927: 2926: 2924: 2923: 2918: 2913: 2908: 2902: 2900: 2894: 2893: 2891: 2890: 2885: 2880: 2878:Pipeline stall 2874: 2872: 2863: 2857: 2856: 2853: 2852: 2850: 2849: 2844: 2839: 2834: 2831: 2830: 2829: 2827:z/Architecture 2824: 2819: 2814: 2806: 2801: 2796: 2791: 2786: 2781: 2776: 2771: 2766: 2761: 2756: 2751: 2746: 2745: 2744: 2739: 2734: 2726: 2721: 2716: 2711: 2706: 2701: 2696: 2691: 2685: 2683: 2677: 2676: 2674: 2673: 2672: 2671: 2661: 2656: 2651: 2646: 2641: 2636: 2631: 2630: 2629: 2619: 2618: 2617: 2607: 2602: 2597: 2592: 2586: 2584: 2577: 2569: 2568: 2566: 2565: 2560: 2555: 2550: 2545: 2540: 2539: 2538: 2533: 2531:Virtual memory 2523: 2518: 2517: 2516: 2511: 2506: 2501: 2491: 2486: 2481: 2476: 2471: 2470: 2469: 2459: 2454: 2448: 2446: 2440: 2439: 2437: 2436: 2435: 2434: 2429: 2424: 2419: 2409: 2404: 2399: 2398: 2397: 2392: 2387: 2382: 2377: 2372: 2367: 2362: 2355:Turing machine 2352: 2351: 2350: 2345: 2340: 2335: 2330: 2325: 2315: 2310: 2304: 2302: 2296: 2295: 2288: 2287: 2280: 2273: 2265: 2256: 2255: 2253: 2252: 2250:Unified memory 2247: 2242: 2237: 2232: 2227: 2221: 2216: 2215: 2214: 2209: 2198: 2196: 2192: 2191: 2189: 2188: 2182: 2176: 2169: 2167: 2163: 2162: 2160: 2159: 2153: 2147: 2141: 2134: 2132: 2128: 2127: 2125: 2124: 2119: 2117:AI accelerator 2114: 2109: 2104: 2098: 2097: 2096: 2091: 2084:Microprocessor 2080: 2078: 2074: 2073: 2065: 2064: 2057: 2050: 2042: 2036: 2035: 2023: 2018: 2013: 2006: 2005:External links 2003: 2002: 2001: 1972: 1944: 1931: 1903: 1890: 1876: 1863: 1849: 1834: 1831: 1806: 1805: 1789: 1773:www.ece.ust.hk 1760: 1725: 1706: 1681: 1670: 1651: 1636: 1611: 1596: 1578: 1536: 1507: 1490: 1472: 1441: 1440: 1438: 1435: 1432: 1431: 1341: 1340: 1338: 1335: 1334: 1333: 1328: 1323: 1318: 1313: 1307: 1300: 1297: 1281:system-on-chip 1268: 1265: 1259: 1256: 1250: 1247: 1196:hardware logic 1148: 1145: 1092: 1089: 1021:point-to-point 1016: 1013: 999: 996: 992:point-to-point 971: 970: 950: 948: 937: 934: 926:processor core 908: 907: 887: 885: 874: 871: 677: 676: 674: 673: 666: 659: 651: 648: 647: 646: 645: 640: 634: 633: 628: 623: 615: 614: 612: 611: 608: 604: 601: 600: 597: 596: 595: 594: 585: 580: 572: 571: 567: 566: 565: 564: 559: 554: 549: 544: 539: 534: 529: 524: 519: 517:Watts–Strogatz 514: 509: 504: 499: 494: 486: 485: 477: 476: 472: 471: 470: 469: 464: 459: 454: 449: 444: 439: 434: 429: 421: 420: 418: 417: 412: 406: 403: 402: 399: 398: 397: 396: 391: 386: 381: 376: 371: 366: 361: 353: 352: 348: 347: 346: 345: 338:Incidence list 334: 327:Adjacency list 323: 318: 313: 308: 303: 298: 296:Data structure 293: 288: 283: 278: 270: 269: 261: 260: 254: 253: 252: 251: 246: 241: 236: 231: 226: 224:Interdependent 221: 216: 211: 206: 201: 196: 191: 183: 182: 178: 177: 176: 175: 170: 168:Network effect 165: 163:Balance theory 160: 155: 150: 145: 140: 135: 130: 125: 123:Social capital 120: 115: 110: 105: 100: 95: 90: 85: 80: 75: 67: 66: 64: 63: 57: 54: 53: 42: 41: 40: 39: 38: 35: 34: 28: 27: 15: 9: 6: 4: 3: 2: 4589: 4578: 4575: 4573: 4570: 4568: 4565: 4563: 4560: 4558: 4555: 4553: 4550: 4548: 4545: 4543: 4540: 4538: 4535: 4533: 4530: 4529: 4527: 4512: 4509: 4507: 4504: 4500: 4497: 4496: 4495: 4492: 4490: 4487: 4483: 4480: 4478: 4475: 4474: 4473: 4470: 4468: 4465: 4464: 4462: 4458: 4452: 4449: 4447: 4444: 4442: 4439: 4437: 4436:Heterogeneous 4434: 4432: 4429: 4427: 4424: 4422: 4419: 4417: 4414: 4413: 4411: 4409: 4408:Architectures 4405: 4397: 4394: 4393: 4392: 4389: 4387: 4384: 4382: 4379: 4377: 4374: 4370: 4367: 4366: 4365: 4362: 4361: 4359: 4355: 4349: 4346: 4344: 4341: 4337: 4334: 4333: 4332: 4329: 4327: 4324: 4320: 4317: 4316: 4315: 4312: 4310: 4307: 4305: 4302: 4300: 4297: 4295: 4292: 4288: 4285: 4283: 4280: 4279: 4278: 4275: 4274: 4272: 4268: 4262: 4259: 4257: 4254: 4252: 4249: 4248: 4246: 4244: 4240: 4236: 4229: 4224: 4222: 4217: 4215: 4210: 4209: 4206: 4194: 4191: 4189: 4186: 4184: 4181: 4179: 4176: 4174: 4171: 4169: 4166: 4164: 4161: 4159: 4156: 4154: 4151: 4150: 4148: 4144: 4137: 4134: 4132: 4129: 4127: 4124: 4122: 4119: 4117: 4114: 4112: 4109: 4107: 4104: 4103: 4101: 4099: 4093: 4083: 4080: 4078: 4075: 4073: 4070: 4068: 4065: 4063: 4060: 4056: 4053: 4051: 4048: 4046: 4043: 4042: 4041: 4038: 4037: 4035: 4033: 4029: 4023: 4020: 4016: 4013: 4011: 4008: 4007: 4006: 4003: 3999: 3996: 3995: 3994: 3991: 3989: 3986: 3984: 3983:Demultiplexer 3981: 3979: 3976: 3975: 3973: 3971: 3967: 3961: 3958: 3956: 3953: 3950: 3948: 3945: 3943: 3940: 3938: 3935: 3933: 3930: 3929: 3927: 3925: 3921: 3915: 3912: 3910: 3907: 3905: 3904:Memory buffer 3902: 3900: 3899:Register file 3897: 3895: 3892: 3890: 3887: 3885: 3882: 3881: 3879: 3877: 3873: 3865: 3862: 3860: 3857: 3856: 3855: 3852: 3850: 3847: 3845: 3842: 3840: 3839:Combinational 3837: 3836: 3834: 3832: 3828: 3822: 3819: 3815: 3812: 3811: 3809: 3806: 3804: 3801: 3799: 3796: 3791: 3788: 3786: 3783: 3782: 3780: 3777: 3774: 3771: 3768: 3765: 3762: 3759: 3758: 3756: 3754: 3748: 3742: 3739: 3737: 3734: 3732: 3729: 3727: 3724: 3720: 3717: 3715: 3712: 3710: 3707: 3705: 3702: 3700: 3697: 3695: 3692: 3691: 3690: 3687: 3685: 3682: 3681: 3679: 3675: 3669: 3666: 3664: 3661: 3659: 3656: 3654: 3651: 3650: 3648: 3644: 3636: 3633: 3632: 3631: 3628: 3626: 3623: 3621: 3618: 3616: 3613: 3611: 3608: 3606: 3603: 3601: 3598: 3596: 3593: 3591: 3588: 3586: 3583: 3581: 3578: 3576: 3573: 3571: 3568: 3566: 3563: 3561: 3558: 3557: 3555: 3553: 3549: 3539: 3536: 3534: 3531: 3529: 3526: 3523: 3520: 3517: 3514: 3511: 3508: 3505: 3502: 3500: 3497: 3494: 3491: 3489: 3486: 3484: 3481: 3480: 3478: 3476: 3470: 3463: 3460: 3458: 3455: 3452: 3449: 3446: 3443: 3442: 3440: 3434: 3428: 3425: 3423: 3420: 3418: 3415: 3413: 3410: 3408: 3405: 3403: 3400: 3398: 3395: 3394: 3392: 3388: 3381: 3378: 3375: 3372: 3369: 3366: 3364: 3361: 3359: 3356: 3354: 3351: 3349: 3346: 3344: 3341: 3339: 3336: 3334: 3331: 3329: 3326: 3324: 3321: 3319: 3316: 3312: 3309: 3308: 3306: 3303: 3300: 3297: 3296: 3294: 3292: 3288: 3282: 3279: 3277: 3274: 3271: 3268: 3265: 3262: 3259: 3256: 3253: 3250: 3247: 3244: 3239: 3236: 3235: 3233: 3230: 3228: 3225: 3224: 3222: 3220: 3214: 3202: 3199: 3198: 3197: 3194: 3192: 3189: 3185: 3182: 3180: 3177: 3175: 3172: 3170: 3167: 3166: 3165: 3162: 3160: 3157: 3156: 3154: 3152: 3148: 3142: 3139: 3137: 3134: 3132: 3129: 3125: 3122: 3120: 3117: 3116: 3115: 3112: 3110: 3107: 3106: 3104: 3102: 3098: 3092: 3089: 3087: 3084: 3080: 3077: 3076: 3075: 3072: 3068: 3065: 3063: 3060: 3059: 3058: 3055: 3051: 3048: 3046: 3043: 3042: 3041: 3038: 3036: 3033: 3029: 3026: 3024: 3021: 3020: 3019: 3016: 3015: 3013: 3009: 3006: 3004: 3000: 2990: 2987: 2985: 2982: 2981: 2979: 2977: 2973: 2967: 2964: 2962: 2959: 2955: 2952: 2950: 2947: 2946: 2945: 2942: 2940: 2939:Scoreboarding 2937: 2936: 2934: 2932: 2928: 2922: 2921:False sharing 2919: 2917: 2914: 2912: 2909: 2907: 2904: 2903: 2901: 2899: 2895: 2889: 2886: 2884: 2881: 2879: 2876: 2875: 2873: 2871: 2867: 2864: 2862: 2858: 2848: 2845: 2843: 2840: 2838: 2835: 2832: 2828: 2825: 2823: 2820: 2818: 2815: 2813: 2810: 2809: 2807: 2805: 2802: 2800: 2797: 2795: 2792: 2790: 2787: 2785: 2782: 2780: 2777: 2775: 2772: 2770: 2767: 2765: 2762: 2760: 2757: 2755: 2752: 2750: 2747: 2743: 2740: 2738: 2735: 2733: 2730: 2729: 2727: 2725: 2722: 2720: 2717: 2715: 2714:Stanford MIPS 2712: 2710: 2707: 2705: 2702: 2700: 2697: 2695: 2692: 2690: 2687: 2686: 2684: 2678: 2670: 2667: 2666: 2665: 2662: 2660: 2657: 2655: 2652: 2650: 2647: 2645: 2642: 2640: 2637: 2635: 2632: 2628: 2625: 2624: 2623: 2620: 2616: 2613: 2612: 2611: 2608: 2606: 2603: 2601: 2598: 2596: 2593: 2591: 2588: 2587: 2585: 2581: 2578: 2576: 2575:architectures 2570: 2564: 2561: 2559: 2556: 2554: 2551: 2549: 2546: 2544: 2543:Heterogeneous 2541: 2537: 2534: 2532: 2529: 2528: 2527: 2524: 2522: 2519: 2515: 2512: 2510: 2507: 2505: 2502: 2500: 2497: 2496: 2495: 2494:Memory access 2492: 2490: 2487: 2485: 2482: 2480: 2477: 2475: 2472: 2468: 2465: 2464: 2463: 2460: 2458: 2455: 2453: 2450: 2449: 2447: 2445: 2441: 2433: 2430: 2428: 2427:Random-access 2425: 2423: 2420: 2418: 2415: 2414: 2413: 2410: 2408: 2407:Stack machine 2405: 2403: 2400: 2396: 2393: 2391: 2388: 2386: 2383: 2381: 2378: 2376: 2373: 2371: 2368: 2366: 2363: 2361: 2358: 2357: 2356: 2353: 2349: 2346: 2344: 2341: 2339: 2336: 2334: 2331: 2329: 2326: 2324: 2323:with datapath 2321: 2320: 2319: 2316: 2314: 2311: 2309: 2306: 2305: 2303: 2301: 2297: 2293: 2286: 2281: 2279: 2274: 2272: 2267: 2266: 2263: 2251: 2248: 2246: 2243: 2241: 2238: 2236: 2233: 2231: 2228: 2225: 2222: 2220: 2217: 2213: 2210: 2208: 2205: 2204: 2203: 2200: 2199: 2197: 2193: 2186: 2183: 2180: 2177: 2174: 2171: 2170: 2168: 2164: 2157: 2154: 2151: 2148: 2145: 2142: 2139: 2136: 2135: 2133: 2129: 2123: 2120: 2118: 2115: 2113: 2110: 2108: 2105: 2102: 2099: 2095: 2092: 2090: 2087: 2086: 2085: 2082: 2081: 2079: 2075: 2070: 2063: 2058: 2056: 2051: 2049: 2044: 2043: 2040: 2034: 2033: 2030: 2024: 2022: 2019: 2017: 2014: 2012: 2009: 2008: 1998: 1994: 1990: 1986: 1982: 1978: 1973: 1969: 1963: 1955: 1951: 1947: 1941: 1937: 1932: 1928: 1922: 1914: 1910: 1906: 1900: 1896: 1891: 1887: 1883: 1879: 1873: 1869: 1864: 1860: 1856: 1852: 1846: 1842: 1837: 1836: 1830: 1829: 1824: 1820: 1817: 1813: 1810:Adapted from 1803: 1799: 1793: 1778: 1774: 1770: 1769:"NoC traffic" 1764: 1756: 1752: 1748: 1744: 1740: 1736: 1729: 1723: 1719: 1716: 1710: 1695: 1691: 1685: 1679: 1674: 1667: 1663: 1660: 1655: 1647: 1640: 1626: 1622: 1615: 1607: 1603: 1599: 1593: 1589: 1582: 1567: 1563: 1559: 1555: 1551: 1547: 1540: 1532: 1526: 1518: 1514: 1510: 1508:1-58113-297-2 1504: 1500: 1494: 1479: 1475: 1469: 1465: 1461: 1457: 1453: 1446: 1442: 1428: 1424: 1423: 1414: 1381: 1377: 1373: 1372: 1366: 1346: 1342: 1332: 1329: 1327: 1324: 1322: 1319: 1317: 1314: 1311: 1308: 1306: 1303: 1302: 1296: 1294: 1290: 1286: 1282: 1278: 1274: 1264: 1255: 1249:Side benefits 1246: 1244: 1239: 1237: 1232: 1230: 1226: 1222: 1216: 1214: 1210: 1206: 1202: 1197: 1193: 1188: 1186: 1182: 1178: 1174: 1170: 1166: 1162: 1153: 1144: 1142: 1138: 1134: 1130: 1126: 1122: 1118: 1114: 1110: 1106: 1102: 1098: 1088: 1086: 1082: 1078: 1074: 1070: 1068: 1064: 1060: 1056: 1052: 1048: 1045: 1041: 1037: 1034: 1030: 1026: 1022: 1012: 1010: 1006: 995: 994:connections. 993: 989: 985: 981: 978: 967: 958: 954: 951:This section 949: 946: 942: 941: 936:Architectures 933: 931: 927: 923: 919: 915: 904: 895: 891: 888:This section 886: 883: 879: 878: 870: 868: 864: 860: 856: 852: 848: 843: 841: 837: 834: 830: 826: 825:communication 822: 817: 815: 811: 807: 803: 799: 795: 791: 787: 783: 779: 775: 771: 767: 763: 759: 758: 752: 734: 733: 724: 692: 688: 684: 672: 667: 665: 660: 658: 653: 652: 650: 649: 644: 641: 639: 636: 635: 632: 629: 627: 624: 622: 619: 618: 617: 616: 609: 606: 605: 603: 602: 593: 589: 586: 584: 581: 579: 576: 575: 574: 573: 569: 568: 563: 562:LFR Benchmark 560: 558: 555: 553: 550: 548: 547:Blockmodeling 545: 543: 540: 538: 535: 533: 530: 528: 525: 523: 520: 518: 515: 513: 512:Fitness model 510: 508: 505: 503: 500: 498: 495: 493: 490: 489: 488: 487: 483: 482: 479: 478: 474: 473: 468: 465: 463: 460: 458: 455: 453: 452:Assortativity 450: 448: 445: 443: 440: 438: 435: 433: 430: 428: 425: 424: 423: 422: 416: 413: 411: 408: 407: 405: 404: 395: 392: 390: 387: 385: 382: 380: 377: 375: 372: 370: 367: 365: 362: 360: 357: 356: 355: 354: 350: 349: 343: 339: 335: 332: 328: 324: 322: 319: 317: 314: 312: 309: 307: 304: 302: 299: 297: 294: 292: 289: 287: 284: 282: 279: 277: 274: 273: 272: 271: 267: 266: 263: 262: 259: 256: 255: 250: 247: 245: 242: 240: 237: 235: 232: 230: 227: 225: 222: 220: 217: 215: 212: 210: 207: 205: 202: 200: 197: 195: 192: 190: 187: 186: 185: 184: 181:Network types 180: 179: 174: 171: 169: 166: 164: 161: 159: 156: 154: 151: 149: 146: 144: 141: 139: 136: 134: 131: 129: 128:Link analysis 126: 124: 121: 119: 118:Graph drawing 116: 114: 111: 109: 106: 104: 101: 99: 96: 94: 91: 89: 86: 84: 81: 79: 76: 74: 71: 70: 69: 68: 62: 59: 58: 56: 55: 46: 37: 36: 33: 30: 29: 25: 21: 20: 4451:Neuromorphic 4395: 4314:Cryptography 4270:Applications 4193:Chip carrier 4131:Clock gating 4050:Mixed-signal 3947:Write buffer 3924:Control unit 3736:Clock signal 3475:accelerators 3461: 3457:Cypress PSoC 3114:Simultaneous 2931:Out-of-order 2563:Neuromorphic 2444:Architecture 2402:Belt machine 2395:Zeno machine 2328:Hierarchical 2166:Alternatives 2137: 2026: 1997:the original 1984: 1980: 1935: 1894: 1867: 1840: 1819:e-newsletter 1809: 1792: 1781:. Retrieved 1772: 1763: 1755:the original 1742: 1738: 1728: 1709: 1698:. Retrieved 1684: 1673: 1654: 1645: 1639: 1628:. Retrieved 1624: 1614: 1587: 1581: 1570:. Retrieved 1556:(1): 70–78. 1553: 1549: 1539: 1498: 1493: 1482:. Retrieved 1455: 1445: 1426: 1379: 1345: 1287:and provide 1270: 1261: 1252: 1240: 1233: 1217: 1207:in a public 1189: 1158: 1109:data packets 1094: 1071: 1055:clock cycles 1018: 1001: 974: 964:October 2018 961: 957:adding to it 952: 930:clock domain 918:asynchronous 911: 901:October 2018 898: 894:adding to it 889: 844: 818: 690: 686: 682: 680: 537:Hierarchical 492:Random graph 340: / 329: / 311:Neighborhood 248: 153:Transitivity 133:Optimization 3978:Multiplexer 3942:Data buffer 3653:Single-core 3625:bit slicing 3483:Coprocessor 3338:Coprocessor 3219:performance 3141:Cooperative 3131:Speculative 3091:Distributed 3050:Superscalar 3035:Instruction 3003:Parallelism 2976:Speculative 2808:System/3x0 2680:Instruction 2457:Von Neumann 2370:Post–Turing 2094:controllers 1823:Igor Markov 1648:. May 2014. 1121:scalability 1101:parallelism 1083:-based and 1067:Rent's rule 982:(SWNs) and 855:scalability 823:to on-chip 583:agent based 497:ErdƑs–RĂ©nyi 138:Reciprocity 103:Percolation 88:Small-world 4577:Modularity 4526:Categories 4482:chronology 4343:Networking 4098:management 3993:Multiplier 3854:Logic gate 3844:Sequential 3751:Functional 3731:Clock rate 3704:Data cache 3677:Components 3658:Multi-core 3646:Core count 3136:Preemptive 3040:Pipelining 3023:Bit-serial 2966:Wide-issue 2911:Structural 2833:Tilera ISA 2799:MicroBlaze 2769:ETRAX CRIS 2664:Comparison 2509:Load–store 2489:Endianness 2207:chronology 2077:Components 1783:2018-10-08 1700:2015-07-02 1630:2023-11-17 1572:2022-11-23 1484:2022-11-23 1437:References 1258:Benchmarks 1165:throughput 1137:algorithms 1117:throughput 1105:data links 610:Categories 467:Efficiency 462:Modularity 442:Clustering 427:Centrality 415:Algorithms 239:Dependency 214:Biological 93:Scale-free 4472:Processor 4426:Multicore 4032:Circuitry 3952:Microcode 3876:Registers 3719:coherence 3694:CPU cache 3552:Word size 3217:Processor 2861:Execution 2764:DEC Alpha 2742:Power ISA 2558:Cognitive 2365:Universal 2202:Processor 1987:: 30–48. 1962:cite book 1954:991871622 1921:cite book 1913:890132032 1886:894609116 1859:895661009 1745:: 30–48. 1659:NoCS 2007 1606:895661009 1525:cite book 1517:326240184 1289:low-level 1192:real-time 1173:deadlines 1127:, shared 1044:nanometer 1042:, and in 1036:quadratic 873:Structure 867:multicore 774:microchip 359:Bipartite 281:Component 199:Transport 148:Homophily 108:Evolution 83:Contagion 4431:Manycore 4416:Dataflow 4369:C to HDL 3970:Datapath 3663:Manycore 3635:variable 3473:Hardware 3109:Temporal 2789:OpenRISC 2484:Cellular 2474:Dataflow 2467:modified 1777:Archived 1718:Archived 1694:Archived 1668:website. 1662:Archived 1566:Archived 1550:Computer 1478:Archived 1299:See also 1277:software 1273:hardware 1243:chiplets 1169:fairness 1085:crossbar 1077:locality 1073:Sparsity 1015:Benefits 998:Topology 833:crossbar 790:IP cores 626:Software 588:Epidemic 570:Dynamics 484:Topology 457:Distance 394:Weighted 369:Directed 364:Complete 268:Features 229:Semantic 24:a series 22:Part of 4460:Related 4287:DirectX 4146:Related 4077:Quantum 4067:Digital 4062:Boolean 3960:Counter 3859:Quantum 3620:512-bit 3615:256-bit 3610:128-bit 3453:(MPSoC) 3438:on chip 3436:Systems 3254:(FLOPS) 3067:Process 2916:Control 2898:Hazards 2784:Itanium 2779:Unicore 2737:PowerPC 2462:Harvard 2422:Pointer 2417:Counter 2375:Quantum 2195:Related 2146:(MPSoC) 1305:Arteris 1181:RTLinux 1177:VxWorks 1135:). The 1133:bridges 1097:signals 1005:latency 814:modules 808:-based 798:modular 778:modules 764:-based 762:network 760:) is a 410:Metrics 379:Labeled 249:on-Chip 234:Spatial 143:Closure 4477:design 4336:scrypt 4243:Theory 4082:Switch 4072:Analog 3810:(IMC) 3781:(MMU) 3630:others 3605:64-bit 3600:48-bit 3595:32-bit 3590:24-bit 3585:16-bit 3580:15-bit 3575:12-bit 3412:Mobile 3328:Stream 3323:Barrel 3318:Vector 3307:(GPU) 3266:(SUPS) 3234:(IPC) 3086:Memory 3079:Vector 3062:Thread 3045:Scalar 2847:Others 2794:RISC-V 2759:SuperH 2728:Power 2724:MIPS-X 2699:PDP-11 2548:Fabric 2300:Models 2212:design 2152:(PSoC) 1952:  1942:  1911:  1901:  1884:  1874:  1857:  1847:  1800:  1604:  1594:  1515:  1505:  1470:  1419:en-oh- 1171:, and 1119:) and 977:sparse 806:router 768:on an 729:en-oh- 621:Topics 475:Models 432:Degree 389:Random 342:matrix 331:matrix 321:Vertex 276:Clique 258:Graphs 204:Social 61:Theory 4294:Audio 4282:GPGPU 4138:(PPW) 4096:Power 3988:Adder 3864:Array 3831:Logic 3792:(TLB) 3775:(FPU) 3769:(AGU) 3763:(ALU) 3753:units 3689:Cache 3570:8-bit 3565:4-bit 3560:1-bit 3524:(TPU) 3518:(DSP) 3512:(PPU) 3506:(VPU) 3495:(GPU) 3464:(NoC) 3447:(SoC) 3382:(PoP) 3376:(SiP) 3370:(MCM) 3311:GPGPU 3301:(CPU) 3291:Types 3272:(PPW) 3260:(TPS) 3248:(IPS) 3240:(CPI) 3011:Level 2822:S/390 2817:S/370 2812:S/360 2754:SPARC 2732:POWER 2615:TRIPS 2583:Types 2226:(DSP) 2187:(PoP) 2181:(SiP) 2175:(MCM) 2158:(MCU) 2140:(NoC) 2131:Types 2103:(GPU) 2089:cores 2071:(SoC) 1816:SIGDA 1646:IPDPS 1429:NoC". 1337:Notes 1312:(EDA) 1129:buses 1125:wires 1033:power 780:in a 757:knock 607:Lists 437:Motif 384:Multi 374:Hyper 351:Types 291:Cycle 73:Graph 4386:CPLD 4381:ASIC 4376:FPGA 4348:Data 4116:ACPI 3849:Glue 3741:FIFO 3684:Core 3422:ASIP 3363:CPLD 3358:FPOA 3353:FPGA 3348:ASIC 3201:SPMD 3196:MIMD 3191:MISD 3184:SWAR 3164:SIMD 3159:SISD 3074:Data 3057:Task 3028:Word 2774:M32R 2719:MIPS 2682:sets 2649:ZISC 2644:NISC 2639:OISC 2634:MISC 2627:EPIC 2622:VLIW 2610:EDGE 2600:RISC 2595:CISC 2504:HUMA 2499:NUMA 2235:FPGA 2219:CPLD 2122:ASIC 1968:link 1950:OCLC 1940:ISBN 1927:link 1909:OCLC 1899:ISBN 1882:OCLC 1872:ISBN 1855:OCLC 1845:ISBN 1798:ISBN 1602:OCLC 1592:ISBN 1531:link 1513:OCLC 1503:ISBN 1468:ISBN 1371:nock 1321:CUDA 1275:and 1225:IEEE 1075:and 1047:CMOS 831:and 316:Path 306:Loop 301:Edge 244:Flow 4319:TLS 4277:GPU 4111:APM 4106:PMU 3998:CPU 3955:ROM 3726:Bus 3343:PAL 3018:Bit 2804:LMC 2709:ARM 2704:x86 2694:VAX 1989:doi 1821:by 1747:doi 1625:EDN 1558:doi 1460:doi 1421:SEE 1293:die 1185:QNX 1183:or 1081:bus 959:. 896:. 851:bus 829:bus 786:SoC 735:or 731:SEE 691:NoC 685:or 592:SIR 286:Cut 4528:: 4045:3D 1983:. 1979:. 1964:}} 1960:{{ 1948:. 1923:}} 1919:{{ 1907:. 1880:. 1853:. 1775:. 1771:. 1741:. 1737:. 1692:. 1623:. 1600:. 1564:. 1554:35 1552:. 1548:. 1527:}} 1523:{{ 1511:. 1476:. 1466:. 1454:. 1427:an 1410:iː 1401:oʊ 1295:. 1231:. 1179:, 1061:, 932:. 816:. 772:(" 720:iː 711:oʊ 681:A 26:on 4227:e 4220:t 4213:v 2284:e 2277:t 2270:v 2061:e 2054:t 2047:v 1991:: 1985:8 1970:) 1956:. 1929:) 1915:. 1888:. 1861:. 1786:. 1749:: 1743:8 1703:. 1633:. 1608:. 1575:. 1560:: 1533:) 1519:. 1487:. 1462:: 1413:/ 1407:s 1404:ˈ 1398:ˌ 1395:n 1392:ɛ 1389:ˌ 1386:/ 1380:a 1365:/ 1362:k 1359:ɒ 1356:n 1353:/ 966:) 962:( 903:) 899:( 784:( 751:/ 748:k 745:ɒ 742:n 739:/ 723:/ 717:s 714:ˈ 708:ˌ 705:n 702:ɛ 699:ˌ 696:/ 689:( 670:e 663:t 656:v 590:/

Index

a series
Network science
Internet_map_1024.jpg
Theory
Graph
Complex network
Contagion
Small-world
Scale-free
Community structure
Percolation
Evolution
Controllability
Graph drawing
Social capital
Link analysis
Optimization
Reciprocity
Closure
Homophily
Transitivity
Preferential attachment
Balance theory
Network effect
Social influence
Informational (computing)
Telecommunication
Transport
Social
Scientific collaboration

Text is available under the Creative Commons Attribution-ShareAlike License. Additional terms may apply.

↑