Knowledge

Self-aligned gate

Source 📝

254:
completing the device fabrication. Due to the inevitable misalignment of the gate mask with respect to the source and drain mask, it was necessary to have a fairly large overlap area between the gate region and the source and drain regions, to ensure that the thin-oxide region would bridge the source and drain, even under worst-case misalignment. This requirement resulted in gate-to-source and gate-to-drain parasitic capacitances that were large and variable from wafer to wafer, depending on the misalignment of the gate oxide mask with respect with the source and drain mask. The result was an undesirable spread in the speed of the integrated circuits produced, and a much lower speed than theoretically possible if the parasitic capacitances could be reduced to a minimum. The overlap capacitance with the most adverse consequences on performance was the gate-to-drain parasitic capacitance, Cgd, which, by the well-known Miller effect, augmented the gate-to-source capacitance of the transistor by Cgd multiplied by the gain of the circuit to which that transistor was a part. The impact was a considerable reduction in the switching speed of transistors.
262:
to define the source and drain regions of the transistor. However, since aluminum could not withstand the high temperature required for the conventional doping of the source and drain junctions, Bower proposed to use ion implantation, a new doping technique still in development at Hughes Aircraft, his employer, and not yet available at other labs. While Bower’s idea was conceptually sound, in practice it did not work, because it was impossible to adequately passivate the transistors, and repair the radiation damage done to the silicon crystal structure by the ion implantation, since these two operations would have required temperatures in excess of the ones survivable by the aluminum gate. Thus his invention provided a proof of principle, but no commercial integrated circuit was ever produced with Bower’s method. A more refractory gate material was needed.
495:
patent 3,475,234 was not filed until March 27, 1967 several months after the R. W. Bower and H. D. Dill Published and presented the first publication of this work entitled INSULATED GATE FIELD EFFECT TRANSISTORS FABRICATED USING THE GATE AS SOURCE-DRAIN MASK at the International Electron Device Meeting, Washington, D.C., 1966. Bower's work described the self-aligned-gate MOSFET, made with both aluminum and polysilicon gates. It used both ion implantation and diffusion to form the source and drain using the gate electrode as the mask to define the source and drain regions. The Bell Labs team attended this meeting of the IEDM in 1966, and they discussed this work with Bower after his presentation in 1966. Bower had first made the self-aligned gate using aluminum as the gate and, before presentation in 1966, made the device using polysilicon as the gate.
146:
placed. The wafer is then heated to around 1000 °C, and exposed to a doping gas that diffuses into the surface of the wafer to produce the "n" sections. A thin layer of insulator material (silicon dioxide) is then grown on top of the wafer. Finally, the gate is patterned on top of the insulating layer in a new photo-lithographic operation. To ensure the gate actually overlaps the underlying source and drain, the gate material has to be wider than the gap between the n sections, typically as much as three times. This wastes space and creates extra capacitance between the gate and the source-drain. This
302:
transistors with aluminum gate fabricated on the same starting material. Therefore, one could use starting material with silicon orientation and simultaneously achieve both an adequate parasitic threshold voltage and low threshold voltage transistors without the use of a channel-stopper mask or ion implantation under the field oxide. With P-type doped silicon gate it would therefore be possible not only to create self-aligned gate transistors but also a low threshold voltage process by using the same silicon orientation of the high threshold voltage process.
281:. Low threshold voltage transistors with aluminum gate demanded the use of silicon orientation, which however produced too low a threshold voltage for the parasitic MOS transistors (the MOS transistors created when aluminum over the field oxide would bridge two junctions). To increase the parasitic threshold voltage beyond the supply voltage, it was necessary to increase the N-type doping level in selected regions under the field oxide, and this was initially accomplished with the use of a so-called channel-stopper mask, and later with ion implantation. 126:, the three parts of a transistor are the source, the drain, and the gate (see diagram). The "field effect" in the name refers to changes to the conductivity that occur when a voltage is applied to the gate. The key point is that this electric field can cause the "channel" region separating the source and drain to become the same type as the source-drain, thus turning the transistor "on". Because no current flows from the gate to the drain, the switching energy of a FET is very small compared to earlier 197:(SGT) or "self-aligned silicon-gate" technology, which had many additional benefits over the reduction of parasitic capacitances. One important feature of SGT was that the transistor was entirely buried under top quality thermal oxide (one of the best insulators known), making it possible to create new device types, not feasible with conventional technology or with self-aligned gates made with other materials. Particularly important are 81: 351: 534: 174:
edges of the source and drain, the inside edge of those sections being masked by the gate itself. As a result, the source and drain "self-align" to the gate. Since they are always perfectly positioned, there is no need to make the gate wider than desired, and the parasitic capacitance is greatly reduced. Alignment time and chip-to-chip variability are likewise reduced.
107:". Depending on the process, the photoresist that was exposed to light either hardens or softens, and in either case, the softer parts are then washed away. The result is a microscopic pattern on the surface of the wafer where a portion of the top layer is exposed while the rest is protected under the remaining photoresist. 466: 313:'s group and was put in charge of the development of a low-threshold-voltage, self-aligned gate MOS process technology. Faggin's first task was to develop the precision etching solution for the amorphous silicon gate, and then he created the process architecture and the detailed processing steps to fabricate MOS ICs with 487:(U.S. 3,472,712, issued October 14, 1969, filed October 27, 1966). The Bell Labs Kerwin et al. patent was not filed until March 27, 1967, several months after R. W. Bower and H. D. Dill had published and presented the first publication of this work at the International Electron Device Meeting, Washington, D.C. in 1966. 908: 432: 494:
and Sarace were the inventors of the self-aligned silicon gate transistor. On that basis, they were awarded the basic patent US 3,475,234. Actually the self-aligned gate MOSFET was invented by Robert W. Bower U.S. 3,472,712, issued October 14, 1969, Filed October 27, 1966. The Bell Labs Kerwin et al
265:
In 1967, John C. Sarace and collaborators at Bell Labs replaced the aluminum gate with an electrode made of vacuum-evaporated amorphous silicon and succeeded in building working self-aligned gate MOS transistors. However, the process, as described, was only a proof of principle, suitable only for the
324:
The availability of the 3708 in July 1968 provided also a platform to further improve the process during the following months, leading to the shipment of the first 3708 samples to customers in October 1968, and making it commercially available to the general market before the end of 1968. During the
626:
10. Using a conventional doping process, or a process called ion-implantation, the source, drain and the polysilicon are doped. The thin oxide under the silicon gate acts as a mask for the doping process. This step is what makes the gate self-aligning. The source and drain regions are automatically
261:
realized that if the gate electrode was defined first, it would be possible not only to minimize the parasitic capacitances between gate and source and drain, but it would also make them insensitive to misalignment. He proposed a method in which the aluminum gate electrode itself was used as a mask
301:
difference between heavily P-type doped silicon and N-type silicon was 1.1 volt lower than the work function difference between aluminum and the same N-type silicon. This meant that the threshold voltage of MOS transistors with silicon gate could be 1.1 volt lower than the threshold voltage of MOS
173:
In the self-aligned process, the key gate-insulating layer is formed near the beginning of the process. Then the gate is deposited and patterned on top. Then the source-drains are doped (for poly-silicon the gates are doped simultaneously). The source-drain pattern thus represents only the outside
145:
The wafer as a whole is first chosen to have a particular electrical quality as biased either positive, or "p", or negative, "n". In the illustration the base material is "p" (called n-channel or nMOS). A mask is then used to produce areas where the negative "n" sections of the transistors will be
341:
By the end of 1968 the silicon-gate technology had achieved impressive results. Although the 3708 was designed to have approximately the same area as the 3705 to facilitate using the same production tooling as the 3705, it could have been made considerably smaller. Nonetheless, it had superior
320:
After validating and characterizing the process using a test pattern he designed, Faggin made the first working MOS silicon-gate transistors and test structures by April 1968. He then designed the first integrated circuit using silicon gate, the Fairchild 3708, an 8-bit analog multiplexer with
333:
The use of phosphorus gettering to soak up the impurities, always present in the transistor, causing reliability problems. Phosphorus gettering allowed to considerably reduce the leakage current and to avoid the threshold voltage drift that still plagued MOS technology with aluminum gate (MOS
253:
MOS process technology started with the definition and doping of the source and drain regions of MOS transistors, followed by the gate mask that defined the thin-oxide region of the transistors. With additional processing steps, an aluminum gate would then be formed over the thin-oxide region
115:(commonly boron or phosphorus) that changes the electrical properties of the silicon. This allows the silicon to become an electron donor, electron receptor, or near-insulator depending on the type and/or amount of the dopant. In a typical IC this process is used to produce the individual 150:
requires that the entire chip be driven at high power levels to ensure clean switching which is inefficient. Additionally, the variation in the misalignment of the gate to the underlying source-drain means that there is high chip-to-chip variability even when they are working properly.
362:
upon its founding (July 1968), and within a few years became the core technology for the fabrication of MOS integrated circuits worldwide, lasting to this day. Intel was also the first company to develop non-volatile memory using floating silicon-gate transistors.
165:
The self-aligned gate developed in several steps to its present form. Key to the advance was the discovery that heavily doped poly-silicon was conductive enough to replace aluminum. This meant the gate layer could be created at any stage in the multi-step
110:
The wafer is then exposed to a variety of processes that add or remove materials from the portions of the wafer that are unprotected by the photoresist. In one common process, the wafer is heated to around 1000 °C and then exposed to a gas containing a
317:. He also invented the ‘buried contacts,’ a method to make direct contact between amorphous silicon and silicon junctions, without the use of metal, a technique that allowed a much higher circuit density, particularly for random logic circuits. 329:
Replacing the vacuum-evaporated amorphous silicon with poly-crystalline silicon obtained by vapor-phase deposition. This step became necessary since evaporated, amorphous silicon did break where it passed over "steps" in the surface of the
636:
in a high temperature furnace (>800 °C or 1,500 °F). This diffuses the dopant further into the crystal structure to make the source and drain regions and results in the dopant diffusing slightly underneath the
201:(CCD), used for image sensors, and non-volatile memory devices using floating silicon-gate structures. These devices dramatically enlarged the range of functionality that could be achieved with solid state electronics. 521:
The importance of self-aligned gates comes in the process used to make them. The process of using the gate oxide as a mask for the source and drain diffusion both simplifies the process and greatly improves the yield.
439:
Federico Faggin and Thomas Klein.: "A Faster Generation Of MOS Devices With Low Thresholds Is Riding The Crest Of The New Wave, Silicon-Gate IC’s". Cover story on Fairchild 3708, "Electronics" magazine, September 29,
289:
The SGT was the first process technology used to fabricate commercial MOS integrated circuits that was later widely adopted by the entire industry in the 1960s. In late 1967, Tom Klein, working at the
342:
performance compared with the 3705: it was 5 times faster, it had about 100 times less leakage current, and the on resistance of the large transistors making up the analog switches was 3 times lower.
321:
decoding logic, that had the same functionality of the Fairchild 3705, a metal-gate production IC that Fairchild Semiconductor had difficulty making on account of its rather stringent specifications.
502:, another semiconductor process innovation of the 1960s. The histories of ion implantation and self-aligned gates are highly interrelated, as recounted in an in-depth history by R.B. Fair. 545:
and used in the Silicon Gate Technology process developed at Fairchild Semiconductor in 1968 for the fabrication of the first commercial integrated circuit using it, the Fairchild 3708
414:
Faggin, F., Klein, T., and Vadasz, L.: "Insulated Gate Field Effect Transistor Integrated Circuits With Silicon Gates". IEEE International Electron Devices Meeting, Washington D.C, 1968
1070:
Faggin, F., Klein, T., and Vadasz, L.: "Insulated Gate Field Effect Transistor Integrated Circuits With Silicon Gates". IEEE International Electron Devices Meeting, Washington D.C, 1968
411:
Bower, RW and Dill, RG (1966). "Insulated gate field effect transistors fabricated using the gate as source-drain mask". IEEE International Electron Devices Meeting, 1966
338:
With silicon gate, the long-term reliability of MOS transistors soon reached the level of bipolar ICs removing one major obstacle to the wide adoption of MOS technology.
607:
7. The polysilicon and gate oxide that is not covered by photoresist is etched away with a buffered ion etch process. This is usually an acid solution containing
549:
1. Wells on the field oxide are etched where the transistors are to be formed. Each well defines the source, drain, and active gate regions of an MOS transistor.
621:
9. The thin oxide is etched away exposing the source and drain regions of the transistor, except in the gate region which is protected by the polysilicon gate.
142:
which melts at 660 °C, so it had to be deposited as one of the last steps in the process after all the doping stages had been completed at around 1000 °C.
642:
12. The process continues with vapor deposition of silicon dioxide to protect the exposed areas, and with all the remaining steps to complete the process.
461:, Klein, Thomas & Faggin, Federico, "Doped semiconductor electrodes for MOS type devices", published 1972-06-27, assigned to 903:, Kerwin, Robert E.; Klein, Donald L. & Sarace, John C., "Method for making MIS structures", published 28-10-1969, assigned to 513:
who pioneered several inventions in order to turn the aforementioned non working proofs of concept, into what the industry actually adopted thereafter.
616:
8. The rest of the photoresist is stripped from the silicon wafer. There is now a wafer with polysilicon over the gate oxide, and over the field oxide.
757: 602:
6. Photoresist is exposed with a specialized developer solution. This is intended to remove the photoresist that was broken down by the UV light.
54:
is one of the key innovations that led to the large increase in computing power in the 1970s. Self-aligned gates are still used in most modern
965:
Richard B. Fair (Jan 1998). "History of Some Early Developments in Ion-Implantation Technology Leading to Silicon Transistor Manufacturing".
871: 462: 91:(ICs, or "chips") are produced in a multi-step process that builds up multiple layers on the surface of a disk of silicon known as a " 809:(September 29, 1969) A Faster Generation Of MOS Devices With Low Thresholds Is Riding The Crest Of The New Wave, Silicon-Gate IC's 1055: 1030: 1003: 788: 741: 700: 812: 922:
Bower, RW & Dill, RG (1966). "Insulated gate field effect transistors fabricated using the gate as source-drain mask".
427:, Donald L. & Sarace, John C., "Method for making MIS structures", published 28-10-1969, assigned to 266:
fabrication of discrete transistors and not for integrated circuits; and was not pursued any further by its investigators
47:
regions. This technique ensures that the gate is naturally and precisely aligned to the edges of the source and drain.
652: 379: 167: 75: 58: 20: 334:
transistors with aluminum gate were not suitable for phosphorus gettering due to the high temperature required).
828: 193:
almost universally adopted self-aligned gates made with polycrystalline silicon (poly-silicon), the so-called
808: 454:
F. Faggin, T. Klein "Silicon Gate Technology", "Solid State Electronics", 1970, Vol. 13, pp. 1125–1144.
160: 1091: 1086: 371: 127: 900: 458: 420: 1101: 572: 219:(because amorphous silicon would break where it passed over "steps" in the oxide insulating surface); 951: 879: 836: 506: 290: 227: 216: 780: 733: 633: 444:
Vadasz, L. L.; Grove, A.S.; Rowe, T.A.; Moore, G.E. (October 1969). "Silicon Gate Technology".
278: 270: 190: 112: 1020: 993: 490:
In a legal action involving Bower, the Third Circuit Court of Appeals determined that Kerwin,
274: 198: 147: 772: 725: 39:(metal–oxide–semiconductor field-effect transistor) is used as a mask for the doping of the 375: 8: 325:
period, July to October 1968, Faggin added two additional critical steps to the process:
92: 40: 939: 751: 594: 88: 55: 44: 1051: 1026: 999: 853: 784: 773: 737: 726: 706: 696: 690: 608: 555: 277:(LVT) MOS process in order to increase the speed and reduce the power dissipation of 212: 186: 32: 1096: 974: 927: 845: 657: 597:; this breaks down the photoresist layer in areas where the mask didn't protect it. 499: 223: 415: 542: 510: 491: 484: 480: 424: 399: 306: 258: 505:
The first commercial product using self-aligned silicon-gate technology was the
995:
International biographical dictionary of computer pioneers, Volume 1995, Part 2
383: 269:
In 1968, the MOS industry was prevalently using aluminum gate transistors with
51: 1080: 931: 857: 824: 710: 446: 298: 250: 130:
types where the gate (or base as it was known) was in-line with the current.
391: 314: 284: 686: 682: 585: 581: 479:
The self-aligned gate design was patented in 1969 by the team of Kerwin,
367: 100: 96: 575:(CVD) process, a layer of polysilicon is grown on top of the gate oxide. 237:
Prior to these innovations, self-aligned gates had been demonstrated on
559: 395: 387: 310: 294: 238: 204:
Certain innovations were required in order to make self-aligned gates:
182: 116: 28: 978: 904: 428: 104: 849: 390:, was developed by Faggin using his silicon-gate MOS IC technology. 382:
in 1968 and demonstrated in 1969. The first commercial single-chip
178: 139: 872:"1971: Microprocessor Integrates CPU Function onto a Single Chip" 80: 350: 177:
After early experimentation with different gate materials using
138:
In early MOSFET fabrication methodologies, the gate was made of
123: 36: 593:
5. A mask is placed on top of the photoresist and exposed to
533: 530:
The following are the steps in creating a self-aligned gate:
359: 241:
devices, but their real impact was on silicon-gate devices.
829:"Evolution of the MOS transistor-from conception to VLSI" 723: 285:
Development of the silicon-gate technology at Fairchild
233:
a method to reduce the impurities present in silicon.
537:
A cleanroom facility where these steps are performed
509:
3708 8-bit analog multiplexor, in 1968, designed by
443: 95:". Each layer is patterned by coating the wafer in 627:properly aligned with the (already in place) gate. 370:to use silicon-gate technology was the Intel 1101 1045: 921: 899: 419: 358:The silicon-gate technology (SGT) was adopted by 1078: 964: 483:, and Sarace. It was independently invented by 991: 675: 345: 756:: CS1 maint: multiple names: authors list ( 405: 924:1966 International Electron Devices Meeting 463:Fairchild Camera and Instrument Corporation 457: 797: 764: 717: 681: 208:a new process that would create the gates; 103:light being shone through a stencil-like " 558:process, a thin layer (5-200 nm) of 498:The self-aligned gate typically involves 1018: 998:. Taylor & Francis US. p. 289. 926:. Vol. 12. IEEE. pp. 102–104. 532: 516: 349: 119:that make up the key elements of an IC. 79: 1039: 1079: 817: 893: 770: 695:. Addison Wesley Publishing Company. 21:semiconductor electronics fabrication 1022:History of semiconductor engineering 133: 823: 31:manufacturing approach whereby the 16:Semiconductor Fabrication Technique 13: 724:Yanda, Heynes, and Miller (2005). 541:These steps were first created by 69: 14: 1113: 1046:Streetman, Ben; Banerjee (2006). 803:Federico Faggin and Thomas Klein 402:contributed to the architecture. 154: 50:The use of self-aligned gates in 905:Bell Telephone Laboratories Inc. 653:Semiconductor device fabrication 566:) is grown on the silicon wafer. 525: 429:Bell Telephone Laboratories Inc. 76:Semiconductor device fabrication 1064: 1012: 985: 293:R&D Labs, and reporting to 64: 1048:Solid State Electronic Devices 958: 915: 864: 1: 1050:. PHI. pp. 269–27, 313. 668: 771:Orton, John Wilfred (2004). 692:Introduction to VLSI systems 273:(HVT) and desired to have a 161:Polysilicon depletion effect 84:Diagram of a standard MOSFET 7: 775:The Story of Semiconductors 646: 128:bipolar junction transistor 10: 1118: 474: 346:Commercialization at Intel 244: 158: 73: 1025:. Springer. p. 359. 584:is applied on top of the 573:chemical vapor deposition 423:, Kerwin, Robert E.; 406:Original documents on SGT 932:10.1109/IEDM.1966.187724 844:(10): 1280–1326 (1303). 663: 99:and then exposing it to 992:John A. N. Lee (1995). 880:Computer History Museum 837:Proceedings of the IEEE 728:Demystifying Chipmaking 291:Fairchild Semiconductor 279:MOS integrated circuits 228:polycrystalline silicon 217:polycrystalline silicon 195:silicon-gate technology 779:. OUP Oxford. p.  538: 355: 271:high threshold voltage 199:charge-coupled devices 191:semiconductor industry 85: 536: 517:Manufacturing process 353: 275:low threshold voltage 148:parasitic capacitance 83: 376:random-access memory 297:, realized that the 732:. Newnes. pp.  226:method for etching 168:fabrication process 89:Integrated circuits 1092:Italian inventions 1087:1966 introductions 876:The Silicon Engine 539: 356: 305:In February 1968, 86: 56:integrated circuit 1057:978-81-203-3020-7 1032:978-3-540-34257-1 1019:Bo Lojek (2007). 1005:978-1-884964-47-3 790:978-0-19-853083-1 743:978-0-7506-7760-8 702:978-0-201-04358-7 632:11. The wafer is 609:hydrofluoric acid 556:thermal oxidation 450:. pp. 27–35. 213:amorphous silicon 187:amorphous silicon 134:Older methodology 25:self-aligned gate 1109: 1102:Transistor types 1071: 1068: 1062: 1061: 1043: 1037: 1036: 1016: 1010: 1009: 989: 983: 982: 979:10.1109/5.658764 962: 956: 955: 949: 945: 943: 935: 919: 913: 912: 911: 907: 897: 891: 890: 888: 886: 868: 862: 861: 833: 827:(October 1988). 821: 815: 801: 795: 794: 778: 768: 762: 761: 755: 747: 731: 721: 715: 714: 679: 658:Microfabrication 500:ion implantation 470: 469: 465: 451: 436: 435: 431: 224:photolithography 1117: 1116: 1112: 1111: 1110: 1108: 1107: 1106: 1077: 1076: 1075: 1074: 1069: 1065: 1058: 1044: 1040: 1033: 1017: 1013: 1006: 990: 986: 963: 959: 947: 946: 937: 936: 920: 916: 909: 898: 894: 884: 882: 870: 869: 865: 850:10.1109/5.16328 831: 822: 818: 802: 798: 791: 769: 765: 749: 748: 744: 722: 718: 703: 680: 676: 671: 666: 649: 565: 554:2. Using a dry 543:Federico Faggin 528: 519: 511:Federico Faggin 485:Robert W. Bower 477: 467: 433: 408: 400:Masatoshi Shima 348: 307:Federico Faggin 287: 259:Robert W. Bower 247: 163: 157: 136: 113:doping material 78: 72: 70:IC construction 67: 52:MOS transistors 35:electrode of a 17: 12: 11: 5: 1115: 1105: 1104: 1099: 1094: 1089: 1073: 1072: 1063: 1056: 1038: 1031: 1011: 1004: 984: 973:(1): 111–137. 957: 948:|journal= 914: 892: 863: 825:Sah, Chih-Tang 816: 796: 789: 763: 742: 716: 701: 673: 672: 670: 667: 665: 662: 661: 660: 655: 648: 645: 644: 643: 639: 638: 629: 628: 623: 622: 618: 617: 613: 612: 604: 603: 599: 598: 590: 589: 580:4. A layer of 577: 576: 568: 567: 563: 551: 550: 527: 524: 518: 515: 476: 473: 472: 471: 455: 452: 441: 437: 417: 412: 407: 404: 384:microprocessor 347: 344: 336: 335: 331: 286: 283: 246: 243: 235: 234: 231: 220: 211:a switch from 209: 156: 155:Self-alignment 153: 135: 132: 74:Main article: 71: 68: 66: 63: 23:technology, a 15: 9: 6: 4: 3: 2: 1114: 1103: 1100: 1098: 1095: 1093: 1090: 1088: 1085: 1084: 1082: 1067: 1059: 1053: 1049: 1042: 1034: 1028: 1024: 1023: 1015: 1007: 1001: 997: 996: 988: 980: 976: 972: 968: 961: 953: 941: 933: 929: 925: 918: 906: 902: 896: 881: 877: 873: 867: 859: 855: 851: 847: 843: 839: 838: 830: 826: 820: 814: 810: 806: 800: 792: 786: 782: 777: 776: 767: 759: 753: 745: 739: 735: 730: 729: 720: 712: 708: 704: 698: 694: 693: 688: 684: 678: 674: 659: 656: 654: 651: 650: 641: 640: 635: 631: 630: 625: 624: 620: 619: 615: 614: 610: 606: 605: 601: 600: 596: 592: 591: 587: 583: 579: 578: 574: 570: 569: 561: 557: 553: 552: 548: 547: 546: 544: 535: 531: 526:Process steps 523: 514: 512: 508: 503: 501: 496: 493: 488: 486: 482: 464: 460: 456: 453: 449: 448: 447:IEEE Spectrum 442: 438: 430: 426: 422: 418: 416: 413: 410: 409: 403: 401: 397: 393: 389: 385: 381: 377: 373: 369: 364: 361: 352: 343: 339: 332: 328: 327: 326: 322: 318: 316: 312: 308: 303: 300: 299:work function 296: 292: 282: 280: 276: 272: 267: 263: 260: 255: 252: 251:aluminum-gate 242: 240: 232: 229: 225: 221: 218: 214: 210: 207: 206: 205: 202: 200: 196: 192: 188: 184: 180: 175: 171: 169: 162: 152: 149: 143: 141: 131: 129: 125: 120: 118: 114: 108: 106: 102: 98: 94: 90: 82: 77: 62: 60: 57: 53: 48: 46: 42: 38: 34: 30: 26: 22: 1066: 1047: 1041: 1021: 1014: 994: 987: 970: 966: 960: 923: 917: 895: 883:. Retrieved 875: 866: 841: 835: 819: 804: 799: 774: 766: 727: 719: 691: 687:Conway, Lynn 683:Mead, Carver 677: 540: 529: 520: 504: 497: 489: 478: 445: 392:Marcian Hoff 365: 357: 340: 337: 323: 319: 315:silicon gate 304: 288: 268: 264: 256: 248: 236: 203: 194: 176: 172: 164: 144: 137: 121: 109: 87: 65:Introduction 49: 24: 18: 805:Electronics 586:polysilicon 582:photoresist 571:3. Using a 368:memory chip 117:transistors 101:ultraviolet 97:photoresist 1081:Categories 967:Proc. IEEE 901:US 3475234 669:References 560:gate oxide 459:US 3673471 421:US 3475234 396:Stan Mazor 388:Intel 4004 380:fabricated 366:The first 354:Intel 1101 311:Les Vadasz 295:Les Vadasz 239:metal-gate 183:molybdenum 159:See also: 29:transistor 950:ignored ( 940:cite book 858:0018-9219 807:magazine 752:cite book 711:634332043 507:Fairchild 257:In 1966, 59:processes 689:(1991). 647:See also 634:annealed 595:UV light 378:) chip, 374:(static 179:aluminum 140:aluminum 1097:MOSFETs 885:22 July 475:Patents 309:joined 245:History 122:In the 1054:  1029:  1002:  910:  856:  787:  740:  736:–149. 709:  699:  468:  434:  386:, the 330:oxide. 189:, the 124:MOSFET 41:source 37:MOSFET 832:(PDF) 813:pp6-7 664:Notes 637:gate. 492:Klein 481:Klein 440:1969. 425:Klein 360:Intel 93:wafer 45:drain 27:is a 1052:ISBN 1027:ISBN 1000:ISBN 952:help 887:2019 854:ISSN 811:see 785:ISBN 758:link 738:ISBN 707:OCLC 697:ISBN 562:(SiO 398:and 372:SRAM 249:The 185:and 105:mask 43:and 33:gate 975:doi 928:doi 846:doi 781:114 734:148 215:to 19:In 1083:: 971:86 969:. 944:: 942:}} 938:{{ 878:. 874:. 852:. 842:76 840:. 834:. 783:. 754:}} 750:{{ 705:. 685:; 394:, 222:a 181:, 170:. 61:. 1060:. 1035:. 1008:. 981:. 977:: 954:) 934:. 930:: 889:. 860:. 848:: 793:. 760:) 746:. 713:. 611:. 588:. 564:2 230:;

Index

semiconductor electronics fabrication
transistor
gate
MOSFET
source
drain
MOS transistors
integrated circuit
processes
Semiconductor device fabrication

Integrated circuits
wafer
photoresist
ultraviolet
mask
doping material
transistors
MOSFET
bipolar junction transistor
aluminum
parasitic capacitance
Polysilicon depletion effect
fabrication process
aluminum
molybdenum
amorphous silicon
semiconductor industry
charge-coupled devices
amorphous silicon

Text is available under the Creative Commons Attribution-ShareAlike License. Additional terms may apply.