460:
2051:
468:
493:
485:
2027:
2035:
1058:
448:
4763:
476:
977:
enabled through the SYSCFG MSR. Once enabled, page table entries will determine how the memory is accessed. If a page table entry has the memory encryption mask set, then that memory will be accessed as encrypted memory. The memory encryption mask (as well as other related information) is determined from settings returned through the same CPUID function that identifies the presence of the feature.
886:, in comparison, offered 4–15% improvement over previous architectures. AMD announced the final Zen microarchitecture actually achieved 52% improvement in IPC over Excavator. The inclusion of SMT also allows each core to process up to two threads, increasing processing throughput by better use of available resources.
718:
This is the first time in a very long time that we engineers have been given the total freedom to build a processor from scratch and do the best we can do. It is a multi-year project with a really large team. It's like a marathon effort with some sprints in the middle. The team is working very hard,
4770:
AMD announced in March 2017 that it would release a server platform based on Zen, codenamed Naples, in the second quarter of the year. The platform include 1- and 2-socket systems. The CPUs in multi-processor configurations communicate via AMD's
Infinity Fabric. Each chip supports eight channels of
981:
The Secure
Encrypted Virtualization (SEV) feature allows the memory contents of a virtual machine (VM) to be transparently encrypted with a key unique to the guest VM. The memory controller contains a high-performance encryption engine which can be programmed with multiple keys for use by different
976:
SME can be used to mark individual pages of memory as encrypted through the page tables. A page of memory that is marked encrypted will be automatically decrypted when read from DRAM and will be automatically encrypted when written to DRAM. The SME feature is identified through a CPUID function and
854:
parts later in 2017. Intel was unable to reach this goal, and in 2021, only mobile chips have been produced with the 10nm process. In comparison to Intel's 14 nm FinFET, AMD claimed in
February 2017 the Zen cores would be 10% smaller. Intel has later announced in July 2018 that 10nm mainstream
775:
segments. Each core has two address generation units, four integer units, and four floating point units. Two of the floating point units are adders, and two are multiply-adders. However, using multiply-add-operations may prevent simultaneous add operation in one of the adder units. There are also
963:
Zen added support for AMD's Secure Memory
Encryption (SME) and AMD's Secure Encrypted Virtualization (SEV). Secure Memory Encryption is real-time memory encryption done per page table entry. Encryption occurs on a hardware AES engine and keys are managed by the onboard "Security" Processor
809:
GPU architecture. This was clarified by AMD's July 2016 announcement that products had been successfully produced on
Samsung's 14 nm FinFET process. AMD stated Samsung would be used "if needed", arguing this would reduce risk for AMD by decreasing dependence on any one foundry.
947:
Processors built at the 14 nm node on FinFET silicon should show reduced power consumption and therefore heat over their 28 nm and 32 nm non-FinFET predecessors (for equivalent designs), or be more computationally powerful at equivalent heat output/power consumption.
27:
798:
sister core, but on AMD's 2015 Financial
Analyst Day it was revealed that K12 was delayed in favor of the Zen design, to allow it to enter the market within the 2016 timeframe, with the release of the first Zen-based processors expected for October 2016.
764:). While newer roadmaps don't confirm the TDP for desktop products, they suggest a range for low-power mobile products with up to two Zen cores from 5 to 15 W and 15 to 35 W for performance-oriented mobile products with up to four Zen cores.
435:
as the processor cores. This has advantages in bandwidth and power, at the expense of chip complexity and die area. This SoC design allows the Zen microarchitecture to scale from laptops and small-form factor mini PCs to high-end desktops and servers.
1133:
On March 2, 2017, AMD officially launched the first Zen architecture-based octacore Ryzen desktop CPUs. The final clock speeds and TDPs for the 3 CPUs released in Q1 of 2017 demonstrated significant performance-per-watt benefits over the previous
776:
improvements in the branch predictor. The L1 cache size is 64 KB for instructions per core and 32 KB for data per core. The L2 cache size 512 KB per core, and the L3 is 1–2 MB per core. L3 caches offer 5× the bandwidth of previous AMD designs.
7552:
Intel's Kaby Lake-series processors, which are scheduled to launch in the third quarter, but will not begin volume production until the end of 2016, while AMD is set to release its Zen architecture-based processors at the end of the fourth
6614:
889:
The Zen processors also employ sensors across the chip to dynamically scale frequency and voltage. This allows for the maximum frequency to be dynamically and automatically defined by the processor itself based upon available cooling.
1117:
The first desktop processors without graphics processing units (codenamed "Summit Ridge") were initially expected to start selling at the end of 2016, according to an AMD roadmap; with the first mobile and desktop processors of the
4771:
memory and 128 PCIe 3.0 lanes, of which 64 lanes are used for CPU-to-CPU communication through
Infinity Fabric when installed in a dual-processor configuration. AMD officially revealed Naples under the brand name Epyc in May 2017.
1122:
type (codenamed "Raven Ridge") following in late 2017. AMD officially delayed Zen until Q1 of 2017. In August 2016, an early demonstration of the architecture showed an 8-core/16-thread engineering sample CPU at 3.0 GHz.
1141:
In March 2017, AMD also demonstrated an engineering sample of a server CPU based on the Zen architecture. The CPU (codenamed "Naples") was configured as a dual-socket server platform with each CPU having 32 cores/64 threads.
479:
A delidded AMD EPYC 7001 processor used in servers. The four dies are similar to the ones used in mainstream processors. All EPYC processors contain four dies to provide structural support to the IHS (Integrated Heat
6328:
8260:
2561:
7313:
8835:
7287:
7755:
6514:
7310:"OC3D :: Article :: AMD Tests Zen CPUs, "Met All Expectation" with no "Significant Bottlenecks" found :: AMD Tests Zen CPUs, Met All Expectation with no Significant Bottlenecks found"
968:) at boot time to encrypt each page, allowing any DDR4 memory (including non-volatile varieties) to be encrypted. AMD SME also makes the contents of the memory more resistant to memory snooping and
7092:
6636:
791:
The team in charge of Zen was led by Keller (who left in
September 2015 after a 3-year tenure) and Zen Team Leader Suzanne Plummer. The Chief Architect of Zen was AMD Senior Fellow Michael Clark.
6607:
7372:
955:, reducing the frequency of underutilized portions of the core to save power. This comes from AMD's SenseMI technology, using sensors across the chip to dynamically scale frequency and voltage.
459:
8200:
7427:
7732:
7504:
8447:
6243:
7483:
7230:
8319:
7818:
7019:
2554:
6183:
7652:
7342:
7906:
6585:
9019:
8289:
5914:
6555:
6031:
2050:
9076:
7453:
7623:
6402:
2547:
7850:
6434:
8015:
6324:
8992:
1972:
7961:
7177:
6991:
805:
In
December 2015, it was rumored that Samsung may have been contracted as a fabricator for AMD's 14 nm FinFET processors, including both Zen and AMD's then-upcoming
8123:
6302:
6057:
8252:
6377:
7705:
7398:
8426:
7309:
9046:
8173:
6484:
467:
7679:
6689:
6084:
6852:
6213:
7759:
7279:
5975:
5936:
7063:
6506:
6352:
7570:
802:
In
November 2015, a source inside AMD reported that Zen microprocessors had been tested and "met all expectations" with "no significant bottlenecks found".
7935:
8346:
6152:
6001:
7088:
6632:
3095:
7791:
7597:
7364:
719:
but they can see the finish line. I guarantee that it will deliver a huge improvement in performance and power consumption over the previous generation.
8877:
6822:
8196:
982:
VMs in the system. The programming and management of these keys is handled by the AMD Secure Processor firmware which exposes an API for these tasks.
7419:
9322:
8231:
7257:
7728:
6538:
6459:
1965:
8455:
10794:
10149:
8898:
7226:
6949:
6235:
1668:
416:
support; the high-end desktop Zen-based Threadripper chips support quad-channel DDR4 memory and offer 64 PCIe 3.0 lanes (vs 24 lanes), using the
7644:
7475:
6881:
6118:
8311:
5794:
5386:
4808:
4623:
4171:
1730:
1172:
7814:
7729:"IPC Increases: Double L1 Data Cache, Better Branch Prediction - AMD Launches Carrizo: The Laptop Leap of Efficiency and Architecture Updates"
7015:
6659:"AMD Opens The Lid on Zen Architectural Details at Hot Chips – Huge Performance Leap Over Excavator, Massive Throughput on 14nm FinFET Design"
9202:
7880:
7334:
6175:
1028:
AMD, in announcing its Radeon Instinct line, argued that the upcoming Zen-based Naples server CPU would be particularly suited for building
7902:
6581:
5445:
3906:
9015:
3602:
8281:
8071:
8043:
7987:
5906:
1958:
939:(HBM). However, the first demonstrated APU did not use HBM. Previous APUs from AMD relied on shared memory for both the GPU and the CPU.
8604:
6559:
6023:
4741:
4402:
9068:
7449:
4697:
4358:
544:(CCX) consisting of four cores and their associated caches. Processors with more than four cores consist of multiple CCXs connected by
6760:
6398:
488:
A delidded AMD Athlon 3000G APU, based on the Zen architecture. The die is physically smaller than those on mainstream Zen processors.
7619:
492:
6934:
9726:
9506:
7840:
6424:
8008:
7147:
5440:
In February 2018, AMD also announced the EPYC 3000 series of embedded Zen CPUs.Common features of EPYC Embedded 3000 series CPUs:
713:
eXtended Frequency Range (XFR), an automated overclocking feature which boosts clock speeds beyond the advertised turbo frequency.
10743:
10077:
9613:
8988:
6727:
6666:
3088:
7203:
7957:
7540:
7169:
7041:
6983:
6273:
6053:
4737:
4398:
3947:
3643:
3136:
2601:
2019:
Processor package actually contains two additional inactive dies to provide structural support to the integrated heat spreader.
1138:
architecture. The octacore Ryzen desktop CPUs demonstrated performance-per-watt comparable to Intel's Broadwell octacore CPUs.
813:
In December 2019, AMD started putting out first generation Ryzen products built using the second generation Zen+ architecture.
8119:
6294:
870:
W for mobile), smaller transistors allow for either lower power at the same frequency, or higher frequency at the same power.
862:
would use less current (and power) at the same frequency (or voltage). As CPUs are usually power limited (typically up to ~125
6789:
912:
but it requires two clock cycles to complete each AVX2 instruction compared to Intel's one. This difference was corrected in
6373:
1130:
brand for release in Q1 2017. It also confirmed Server processors would be released in Q2 2017, and mobile APUs in H2 2017.
521:
architecture allows for two threads per core, a departure from the CMT (clustered multi-thread) design used in the previous
9279:
7701:
404:
has been introduced, allowing each core to run two threads. The cache system has also been redesigned, making the L1 cache
7394:
10142:
8418:
4774:
On June 20, 2017, AMD officially released the Epyc 7000 series CPUs at a launch event in Austin, Texas. Common features:
1989:
1685:
1661:
9042:
8855:
5880:
9633:
9618:
8169:
5787:
5379:
3081:
367:
6480:
9235:
7675:
6697:
6080:
5459:
653:
6844:
6205:
5971:
9564:
9559:
9554:
9549:
4431:
3985:
3899:
3673:
3171:
2631:
2069:
484:
7059:
6349:
3595:
10799:
10789:
10550:
9709:
9389:
8962:
7566:
6911:
5847:
1119:
1040:
to connect at PCIe x16 to a single CPU. This compares favorably to the Intel Xeon line, with only 40 PCIe lanes.
878:
One of Zen's major goals in 2016 was to focus on performance per-core, and it was targeting a 40% improvement in
835:
753:
608:
system with Indirect Target Array similar to the Bobcat microarchitecture, something that has been compared to a
560:
379:
8342:
7931:
6148:
10526:
10135:
9704:
9601:
9591:
5997:
4690:
4351:
1654:
1135:
7787:
7593:
5877:"AMD Ryzen™ 7 Desktop Processors Featuring Record-Breaking Overclocking Performance Available Worldwide Today"
374:
2016. The first Zen-based CPUs, codenamed "Summit Ridge", reached the market in early March 2017, Zen-derived
10565:
10516:
10510:
9714:
9699:
9596:
9571:
9544:
8096:
7522:
6818:
5780:
5372:
1068:
894:
883:
839:
685:
522:
386:
319:
9586:
9581:
9499:
9429:
8544:
158:
8368:
850:
microarchitecture, are also fabricated on 14 nm FinFET; though Intel planned to begin the release of
10610:
10368:
10089:
9806:
9606:
8393:
8223:
7253:
6535:
6110:
3892:
843:
806:
636:
518:
401:
6456:"Weekend tech reading: AMD 'Zen' and their return to high-end CPUs, tracking Windows pirates - TechSpot"
6455:
3588:
1014:
10540:
10463:
9789:
9781:
578:
9478:
512:
9576:
9539:
9534:
9458:
8146:
6957:
6873:
4726:
4683:
4387:
4344:
3935:
3631:
3356:
3124:
2817:
2590:
1092:
609:
431:
design. The memory controller and the PCIe, SATA, and USB controllers are incorporated into the same
10560:
9794:
9149:
8936:
5937:"AMD Launches Ryzen: 52% More IPC, Eight Cores for Under $ 330, Pre-order Today, On Sale March 2nd"
646:
8573:
7872:
7010:
7008:
10599:
10163:
9721:
9492:
1022:
991:
674:
556:
351:
10226:
10159:
9529:
9515:
8713:
8369:"Power Consumption And Efficiency - AMD FX-8350 Review: Does Piledriver Fix Bulldozer's Flaws?"
8065:"AMD - Other Developer Guides: Secure Encrypted Virtualization Key Management PDF – 05/19/2016"
7005:
3951:
3647:
3140:
2605:
879:
768:
397:
8064:
8039:
7983:
5876:
1017:
which add connectivity options including additional SATA and USB connections, and support for
10307:
8811:
8755:
5837:
936:
785:
552:
371:
10340:
10094:
8912:
8769:
6752:
10434:
10325:
9623:
8643:
5527:
5499:
4864:
4828:
4718:
4714:
4456:
4449:
4379:
4375:
4021:
4008:
4003:
3971:
In February 2018, AMD announced the V1000 series of embedded Zen+Vega APUs with four SKUs.
3927:
3923:
3713:
3703:
3623:
3619:
3211:
3201:
3116:
3112:
2668:
2661:
2582:
2578:
2112:
2103:
1801:
1773:
1243:
1215:
761:
665:
632:
629:
Move elimination, a method that reduces physical data movement to reduce power consumption.
192:
9344:
9304:
9260:
6633:"AMD Zen Microarchitecture: Dual Schedulers, Micro-Op Cache and Memory Hierarchy Revealed"
5462:
mode (3201 supports only DDR4-2133), while 33xx and 34xx models support quad-channel mode.
8:
10384:
8787:
8741:
5476:
5404:
5329:
1737:
1179:
748:. This gives greater efficiency than the 32 nm and 28 nm processes of previous
745:
564:
10408:
893:
AMD has demonstrated an 8-core/16-thread Zen processor outperforming an equally-clocked
451:
A highly simplified illustration of the Zen microarchitecture: a core has a total of 512
366:
series of CPUs in February 2017. The first Zen-based preview system was demonstrated at
10127:
8699:
8685:
8671:
8657:
8629:
7143:
5504:
4833:
4805:
dies, two core complexes (CCX) per die with up to 4 cores and 8 MiB of L3 cache per CCX
4722:
4461:
4383:
4026:
3931:
3718:
3627:
3216:
3120:
2673:
2586:
2117:
1778:
1220:
898:
771:
and includes a micro-op cache which feeds two schedulers, one each for the integer and
534:
432:
291:
6782:
6720:
6658:
420:; and Epyc server processors offer 128 PCIe 3.0 lanes and octa-channel DDR4 using the
10645:
10208:
10200:
9974:
8892:
7516:
7199:
6265:
5532:
5520:
5466:
4911:
4859:
4839:
4798:
4442:
3996:
3696:
3194:
2689:
2654:
2094:
1806:
1794:
1747:
1236:
1189:
756:, respectively. The "Summit Ridge" Zen family of CPUs use the AM4 socket and feature
601:
355:
7536:
7045:
6425:"Overclocker delids an AMD Ryzen Threadripper chip and finds Epyc inside | PC Gamer"
2026:
10663:
10620:
10545:
10468:
9993:
9908:
9885:
9839:
9646:
8343:"AMD Reveals More Zen CPU Details, Officially Known As Ryzen, No Linux Details Yet"
8253:"AMD Zen FX CPUs, APUs Release Details Surface, Top-Notch Performance In The Cards"
8170:"AMD Announces Radeon Instinct: GPU Accelerators for Deep Learning, Coming in 2017"
5428:
Epyc embedded 7001 series models have identical specifications as Epyc 7001 series.
4872:
4802:
4541:
4106:
2197:
995:
969:
428:
405:
176:
8727:
8511:
396:
process, are reportedly more energy efficient, and can execute significantly more
9363:
7645:"Intel's 'Tick-Tock' Seemingly Dead, Becomes 'Process-Architecture-Optimization'"
6542:
6356:
5879:(Press release). Sunnyvale, California: Advanced Micro Devices, Inc. 2017-03-02.
5483:
4815:
4790:
2757:
1757:
1199:
1037:
834:. Prior to Zen, AMD's smallest process size was 28 nm, as utilized by their
831:
737:
545:
141:
79:
8878:"AMD's 2018 roadmap: Desktop APUs in February, second-generation Ryzen in April"
10253:
10220:
9100:
8856:"AMD's 2nd-gen Ryzen is coming in April, desktop Ryzen APUs arrive February 12"
8448:"The AMD Ryzen 7 1800X Review: Now and Zen | Power Consumption and Conclusions"
6481:"AMD: Zen chips headed to desktops, servers in 2016 - The Tech Report - Page 1"
4740:
performance is calculated from the base (or boost) core clock speed based on a
4401:
performance is calculated from the base (or boost) core clock speed based on a
3950:
performance is calculated from the base (or boost) core clock speed based on a
3646:
performance is calculated from the base (or boost) core clock speed based on a
3139:
performance is calculated from the base (or boost) core clock speed based on a
2604:
performance is calculated from the base (or boost) core clock speed based on a
2034:
1018:
772:
582:
571:
8312:"CPU-Roadmap 2017 - 2018: KĂĽnftige AMD- und Intel-CPUs/-APUs in der Ăśbersicht"
7420:"AMD's next-gen CPU leak: 14nm, simultaneous multithreading, and DDR4 support"
7280:"AMD set to release first 'Zen'-based microprocessors in late 2016 – document"
7123:
935:
Pre-release reports stated APUs using the Zen architecture would also support
673:
CLZERO instruction for clearing a cache line. Useful for handling ECC-related
10783:
10593:
10479:
10439:
10389:
10312:
8224:"AMD Naples High-Performance Server Chips With 32 Cores, 64 Threads Detailed"
7125:
Jim Keller On AMD's Next-Gen High Performance x86 Zen Core & K12 ARM Core
6970:
Zen is being built on an advanced GlobalFoundries-sourced 14nm FinFET process
5486:
4793:
4110:
2761:
2201:
1760:
1202:
1029:
965:
623:
577:
Each SMT core can dispatch up to six micro-ops per cycle (a combination of 6
9323:"New AMD-Powered Supercomputers Unleash Discovery and Accelerate Innovation"
9069:"AMD launches broad Epyc server processor line with up to 32 cores per chip"
7227:"How AMD designed what could be its most competitive processors in a decade"
6374:"AMD Reveals Why Threadripper CPUs Have 4 Dies Under the Hood - ExtremeTech"
5972:"AMD says Zen CPU will outperform Intel Broadwell-E, delays release to 2017"
588:
Close to 2Ă— faster L1 and L2 bandwidth, with total L3 cache bandwidth up 5Ă—.
548:. Processors with non-multiple-of-four core counts have some cores disabled.
370:, and first substantially detailed at an event hosted a block away from the
10737:
10721:
10717:
10703:
10699:
10680:
10634:
10401:
10375:
10352:
10007:
9858:
4477:
4042:
3734:
3232:
2133:
952:
851:
730:
619:
591:
390:
385:
Zen is a clean sheet design that differs from AMD's previous long-standing
9203:"AMD's Future in Servers: New 7000-Series CPUs Launched and EPYC Analysis"
8605:"AMD Ryzen Threadripper: Everything we know so far about this monster CPU"
7620:"Intel says not to expect mainstream 10nm chips until 2H19 | Ars Technica"
6176:"AMD's Future in Servers: New 7000-Series CPUs Launched and Epyc Analysis"
1126:
In December 2016, AMD officially announced the desktop CPU line under the
408:. Zen processors use three different sockets: desktop Ryzen chips use the
10084:
8197:"Radeon Instinct Machine Learning GPUs include Vega, Preview Performance"
7815:"AMD Zen Microarchiture Part 2: Extracting Instruction-Level Parallelism"
6556:"AMD Zen Confirmed for 2016, Features 40% IPC Improvement Over Excavator"
3689:
3187:
2647:
1007:
9979:
7702:"AMD Announces Zen, 40% IPC Improvement Over Excavator - Coming In 2016"
6753:"'Neural network' spotted deep inside Samsung's Galaxy S7 silicon brain"
1057:
447:
10672:
10571:
10426:
10420:
10301:
10176:
10072:
10067:
10029:
10024:
9947:
6950:"AMD Shipping Zen In Limited Quantity Q4, Volume Rollout Ramps Q1 2017"
6932:
5510:
5455:
5452:
4849:
4778:
4467:
4032:
3872:
3790:
3724:
3684:
3481:
3372:
3222:
3182:
2679:
2642:
2513:
2428:
2123:
2083:
1784:
1726:
1719:
1226:
1168:
1161:
929:
925:
859:
757:
702:
Support for intel-style running average power limit (RAPL) measurement.
681:
605:
421:
417:
413:
409:
239:
234:
229:
9016:"AMD Prepares 32-Core Naples CPUs for 1P and 2P Servers: Coming in Q2"
8875:
7335:"Samsung to fab AMD Zen & Arctic islands on its 14 nm Finfet node"
6903:
5758:
5719:
5667:
5651:
5626:
5604:
5565:
5362:
5340:
5303:
5295:
5288:
5274:
5267:
5235:
5228:
5208:
5201:
5193:
5186:
5149:
5142:
5117:
5110:
5102:
5095:
5078:
5071:
5054:
5047:
5010:
5003:
4978:
4971:
4928:
4661:
4638:
4606:
4533:
4314:
4298:
4274:
4244:
4225:
4189:
4098:
3568:
3539:
3522:
3505:
3441:
3424:
3407:
3288:
3044:
3031:
2991:
2968:
2958:
2931:
2921:
2888:
2866:
2844:
2828:
2749:
2047:
Ryzen APUs are identified by either the G or GE suffix in their name.
1923:
1904:
1860:
1636:
1618:
1603:
1574:
1542:
1527:
1500:
1485:
1456:
1421:
1403:
1374:
1359:
1333:
1295:
10448:
10444:
10394:
10345:
10317:
10293:
10286:
10279:
10034:
10012:
10002:
9962:
9957:
7845:
6507:"AMD: 'Bulldozer' was not a game-changer, but next-gen 'Zen' will be"
6360:
6114:
4471:
4036:
2127:
902:
847:
784:
AMD began planning the Zen microarchitecture shortly after re-hiring
659:
163:
106:
9424:
9422:
9420:
9418:
9416:
9414:
9412:
9410:
7395:"First-Gen AMD Ryzen CPUs are Appearing with 12nm Zen+ Architecture"
9942:
6429:
4896:
4887:
4526:
4521:
4516:
4417:
In 2019, AMD announced the R1000 series of embedded Zen+Vega APUs.
4091:
4086:
4081:
3783:
3778:
3773:
3281:
3276:
3271:
2742:
2737:
2732:
2182:
2177:
2172:
9390:"AMD Launches Ryzen Embedded V1000, EPYC Embedded 3000 Processors"
8963:"AMD Launches Ryzen Embedded V1000, EPYC Embedded 3000 Processors"
8480:
7984:"[RFC PATCH v1 00/18] x86: Secure Memory Encryption (AMD)"
7505:"CES: AMD finally unveils 28nm APU Kaveri to battle Intel Haswell"
6608:"A New x86 Core Architecture for the Next Generation of Computing"
6206:"HP ENVY x360 Convertible Laptop - 15z touch - HP® Official Store"
855:
processors should not be expected before the second half of 2019.
10587:
10580:
10501:
10485:
10414:
10051:
10046:
10019:
9814:
9746:
9691:
9484:
9407:
8040:"LKML - Tom Lendacky (AMD) explains AMD Secure Memory Encryption"
7113:
AMD, "Software Optimization Guider for AMD Family 17h Processors"
7016:"14nm AMD Zen CPU Will Have DDR4 and Simultaneous Multithreading"
6024:"AMD Zen-based 8-core Desktop CPU Arrives in 2016, on Socket FM3"
5832:
5469:: 96 KB (32 KB data + 64 KB instruction) per core.
1750:: 96 KB (32 KB data + 64 KB instruction) per core.
1192:: 96 KB (32 KB data + 64 KB instruction) per core.
1111:
795:
439:
By 2020, 260 million Zen cores have already been shipped by AMD.
8989:"AMD's Naples platform prepares to take Zen into the datacenter"
7873:"AMD's Zen processors to feature up to 32 cores, 8-channel DDR4"
6690:"AMD Zen Architecture Overview: Focus on Ryzen | PC Perspective"
5907:"GlobalFoundries announces 14nm validation with AMD Zen silicon"
4762:
32:
10360:
10333:
10273:
10265:
10258:
10246:
10239:
10232:
10041:
9989:
9952:
9937:
9932:
9927:
9848:
9835:
9686:
9681:
9665:
9660:
9655:
7841:"In Theory: How AMD's Ryzen will disrupt the gaming CPU market"
5827:
4498:
4063:
2154:
1102:
The Zen architecture is used in the current-generation desktop
958:
827:
749:
733:
642:
515:
to write-back, allowing for lower latency and higher bandwidth.
504:, the main focus of Zen is on increasing per-core performance.
475:
393:
301:
150:
8756:"AMD Ryzen 3 PRO 2200GE Processor with Radeon Vega 8 Graphics"
7958:"Computex 2017: AMD Demos Ryzen Mobile SoC with Vega Graphics"
6813:
6811:
6809:
6399:"AMD Ryzen Threadripper Does Have Four 8-Core Dies (32-cores)"
794:
Zen was originally planned for 2017 following the ARM64-based
10727:
10708:
10685:
10653:
10639:
10625:
9969:
9922:
9917:
9904:
9894:
9853:
9762:
9756:
9751:
9741:
9736:
9731:
9642:
8770:"AMD Ryzen 3 PRO 2200G Processor with Radeon Vega 8 Graphics"
6845:"AMD Takes Computing to a New Horizon with Ryzen™ Processors"
5857:
5852:
5842:
5514:
4853:
3755:
3728:
3253:
3226:
2714:
2703:
2683:
1788:
1230:
1151:
1127:
1103:
913:
530:
363:
329:
286:
146:
89:
9364:"A Look At The AMD EPYC Performance On The Amazon EC2 Cloud"
9280:"AMD EPYC 7261 8 Core CPU Quietly Launched L3 Cache Monster"
8954:
7365:"AMD Officially Diversifies 14nm Manufacturing With Samsung"
7060:"AMD's Zen core (family 17h) to have ten pipelines per core"
6819:"AMD Starts Linux Enablement On Next-Gen "Zen" Architecture"
6054:"Ryzen Threadripper CPUs will offer 16 cores and 32 threads"
1848:
1835:
1285:
1272:
626:, similar to that of Intel Haswell and Broadwell processors.
9863:
7537:"Intel Kaby Lake to compete against AMD Zen at end of 2016"
6984:"VLSI 2018: GlobalFoundries 12nm Leading-Performance, 12LP"
6806:
4757:
2088:
1107:
1033:
1010:
999:
909:
656:, SMEP, XSAVEC/XSAVES/XRSTORS, and CLFLUSHOPT instructions.
540:
A fundamental building block for all Zen-based CPUs is the
375:
296:
8742:"AMD Ryzen 3 2200GE Processor with Radeon Vega 8 Graphics"
6236:"AMD Zen-based CPUs and APUs will unify around Socket AM4"
6111:"AMD's 2016-2017 x86 Roadmap: Zen Is In, Skybridge Is Out"
1740:
lanes. 4 of the lanes are reserved as link to the chipset.
1182:
lanes. 4 of the lanes are reserved as link to the chipset.
724:
Suzanne Plummer, Zen team leader, on September 19th, 2015.
26:
10191:
9144:
9142:
9140:
9138:
6536:
Software Optimization Guide for AMD Family 17h Processors
5712:
5675:
5597:
5354:
5322:
5178:
5134:
5039:
4995:
4963:
3877:
3795:
3573:
3544:
3527:
3510:
3446:
3429:
3412:
3293:
1945:
1894:
1641:
1608:
1593:
1561:
1532:
1490:
1475:
1446:
1408:
1393:
1364:
1349:
1323:
1003:
526:
501:
359:
66:
10157:
9136:
9134:
9132:
9130:
9128:
9126:
9124:
9122:
9120:
9118:
8672:"AMD Athlon 240GE Processor with Radeon Vega 3 Graphics"
8658:"AMD Athlon 220GE Processor with Radeon Vega 3 Graphics"
8630:"AMD Athlon 200GE Processor with Radeon Vega 3 Graphics"
4814:
128 PCIe 3.0 lanes per socket, 64 of which are used for
4308:
4235:
9196:
9194:
9043:"AMD's Naples datacenter CPUs will make an Epyc splash"
8394:"AMD Ryzen 7 1800X: Power Consumption And Temperatures"
8120:"How AMD's powerful Zen chip flouts the SoC stereotype"
7034:
6295:"How AMD's powerful Zen chip flouts the SoC stereotype"
1839:
1276:
842:
microarchitectures. The immediate competition, Intel's
699:"Pure Power" (more accurate power monitoring sensors).
615:
The branch predictor is decoupled from the fetch stage.
9236:"AMD EPYC New Details on the Emerging Server Platform"
9192:
9190:
9188:
9186:
9184:
9182:
9180:
9178:
9176:
9174:
7747:
6081:"AMD EPYC New Details on the Emerging Server Platform"
378:
server processors launched in June 2017 and Zen-based
9115:
8876:
Peter Bright - Jan 8, 2018 9:50 pm UTC (2018-01-08).
7254:"Joe Macri : The Disruptive Nature of AMD Ryzen"
830:
silicon. These processors are reportedly produced at
471:
Photomontage of a delidded Zen CPU with an etched die
9229:
9227:
9225:
9223:
8282:"32-core AMD Opteron to feature quad-die MCM design"
7932:"Zen-based APU with HBM to be AMD Carrizo successor"
942:
9171:
7450:"Reports: Chip made by GlobalFoundries beats Intel"
7170:"Amid challenges, chipmaker AMD sees a way forward"
6935:"Amid challenges, chipmaker AMD sees a way forward"
788:in August 2012. AMD formally revealed Zen in 2015.
31:The logo for the Zen microarchitecture is a closed
7676:"AMD Briefly Shows Off Zen "Summit Ridge" Silicon"
7476:"AMD: We have taped out our first FinFET products"
6783:"The microarchitecture of Intel, AMD and VIA CPUs"
6325:"Early AMD Zen Server CPU and Motherboard Details"
9220:
8686:"AMD Athlon 3000G Processor with Radeon Graphics"
8545:"AMD Ryzen 5 1600 Review Pops Up Ahead of Launch"
5901:
5899:
5897:
1831:
1268:
16:2017 AMD 14-nanometer processor microarchitecture
10781:
9101:""Zeppelin": An SoC for Multichip Architectures"
7511:. Archived from the original on January 9, 2014.
6874:"Linux support for Power Measurement Interfaces"
5407:, only available as single socket configuration.
1844:
1281:
111:64 KB instruction, 32 KB data per core
8567:
8565:
8145:Justin, Michael; Sexton, Allen (3 March 2017).
816:
525:. This is a feature previously offered in some
9834:
9430:"Product Brief: AMD EPYC Embedded 3000 Family"
8538:
8536:
8534:
8532:
8505:
8503:
8501:
6721:"Strided Sampling Hashed Perceptron Predictor"
5894:
10143:
9500:
9381:
7637:
6933:Kirk Ladendorf - For the American-Statesman.
5788:
5435:
5380:
4691:
4352:
3900:
3596:
3089:
2555:
1966:
1662:
8598:
8596:
8594:
8562:
8474:
8472:
8144:
8138:
8097:"Podcast #432 - Kaby Lake, Vega, CES Review"
7926:
7924:
7903:"ECC Memory & AMD's Ryzen - A Deep Dive"
7564:
7082:
7080:
6504:
6104:
6102:
2214:
1612:
1156:Common features of Ryzen 1000 desktop CPUs:
959:Enhanced security and virtualization support
645:support, a set of high-performance hardware
8897:: CS1 maint: numeric names: authors list (
8529:
8498:
8419:"AMD Ryzen 7 1800X and AM4 Platform Review"
8309:
7781:
7779:
7777:
7726:
7594:"AMD touts Zen die size advantage at ISSCC"
7044:. Shattered.Media. May 2015. Archived from
6579:
6233:
6149:"AMD gives us our first real moment of Zen"
5998:"Details of AMD Zen 16-core x86 APU emerge"
10150:
10136:
9507:
9493:
9095:
9093:
8095:L, Alex; Walrath, Josh (12 January 2017).
7838:
7272:
7052:
6473:
6108:
5795:
5781:
5387:
5373:
4698:
4684:
4359:
4345:
3907:
3893:
3603:
3589:
3096:
3082:
2562:
2548:
2013:
1973:
1959:
1669:
1655:
1013:links. This can be augmented by available
779:
184:4.8 billion per 8-core "Zeppelin" die
25:
8828:
8591:
8571:
8469:
7921:
7788:"AMD crests Summit Ridge with Ryzen CPUs"
7224:
7136:
7077:
6350:AMD Shipped 260 Million Zen Cores by 2020
6142:
6140:
6138:
6136:
6099:
5965:
5963:
5961:
5959:
5957:
5448:(31xx and 32xx models use SP4r2 package).
1714:Common features of Ryzen 1000 HEDT CPUs:
9459:"AMD EPYC Embedded 3255 - PE3255BGR88AF"
8848:
8094:
7839:Leadbetter, Richard (22 February 2017).
7774:
7567:"AMD Zen: A serious challenge to Intel?"
7362:
6448:
5704:
5589:
4761:
4708:
4511:
4369:
4076:
3917:
3768:
3613:
3266:
3106:
2727:
2572:
2167:
2049:
2033:
2025:
1886:
821:
491:
483:
474:
466:
458:
446:
10644:12 and 16 cores on mainstream desktop,
9361:
9325:(Press release). AMD. November 13, 2018
9277:
9233:
9200:
9090:
9040:
9013:
8986:
8340:
8221:
8117:
7785:
7753:
7447:
7197:
7086:
6687:
6656:
6498:
6322:
6266:"Ryzen™ Threadripper™ Processors | AMD"
6146:
6078:
6051:
5969:
5934:
4731:
4506:
4392:
4071:
3941:
3763:
3637:
3261:
3130:
2722:
2595:
2162:
826:Processors based on Zen use 14 nm
10795:Computer-related introductions in 2017
10782:
10195:
9387:
9022:from the original on 11 September 2017
8960:
8194:
7955:
7735:from the original on February 16, 2016
7591:
7290:from the original on 13 September 2015
6582:"The Core Complex, Caches, and Fabric"
6133:
5954:
3961:
1036:lanes per Naples CPU allows for eight
597:Larger retire, load, and store queues.
10748:
10732:
10712:
10629:
10617:
10530:
10504:introduced; CPU and GPU on single die
10493:
10476:
10472:
10379:
10217:
10131:
9488:
9362:Larabel, Michael (November 7, 2018).
9278:Kennedy, Patrick (October 31, 2018).
8349:from the original on 14 December 2016
8341:Larabel, Michael (13 December 2016).
8176:from the original on 12 December 2016
8167:
8118:Mah Ung, Gordon (28 September 2016).
7794:from the original on 14 December 2016
7673:
7167:
6981:
6947:
6422:
6155:from the original on 18 November 2016
5970:Anthony, Sebastian (18 August 2016).
4811:DDR4-2666 (7251 limited to DDR4-2400)
1769:
1420:
1402:
1373:
1358:
1332:
1294:
1211:
1145:
649:instructions introduced in Broadwell.
10764:are the microarchitecture names
8728:"HP Desktop Pro A G2 Specifications"
8603:Ung, Gordon Mah (6 September 2017).
8478:
8126:from the original on 6 February 2017
7600:from the original on 9 February 2017
7251:
6901:
6750:
6246:from the original on 2 February 2017
4751:
3150:
1922:
1903:
1859:
1635:
1617:
1602:
1573:
1541:
1526:
1499:
1484:
1455:
1052:
128:
10758:indicates cancelled processors
10117:indicates an upcoming architecture.
8995:from the original on 18 August 2017
8734:
8602:
8574:"AMD Ryzen 3 1200 and 1300X review"
8572:Hagedoorn, Hilbert (27 July 2017).
8542:
8509:
8203:from the original on 11 August 2017
7900:
7812:
7456:from the original on 23 August 2016
7095:from the original on 19 August 2016
6914:from the original on 26 August 2018
6788:. Technical University of Denmark.
6718:
6669:from the original on 25 August 2016
6630:
6173:
5978:from the original on 18 August 2016
4129:
1990:Manufacturer suggested retail price
1686:Manufacturer suggested retail price
729:The Zen architecture is built on a
639:(excluding VT-x and private MSRs):
511:The L1 cache has been changed from
13:
9514:
8009:"AMD MEMORY ENCRYPTION WHITEPAPER"
7964:from the original on 22 March 2019
7786:Kampman, Jeff (13 December 2016).
7655:from the original on 23 March 2016
7363:Moorhead, Patrick (25 July 2016).
7260:from the original on 22 April 2017
7225:TAKAHASHI, Dean (24 August 2016).
7022:from the original on 10 March 2015
6657:Mujtaba, Hassan (23 August 2016).
6505:Anton Shilov (11 September 2014).
6331:from the original on 22 March 2017
5935:Cutress, Ian (February 22, 2017).
507:New or improved features include:
14:
10811:
9472:
9388:Alcorn, Paul (21 February 2018).
9234:Kennedy, Patrick (May 16, 2017).
9150:"AMD EPYC 7000 Series Processors"
8961:Alcorn, Paul (21 February 2018).
8322:from the original on 1 March 2017
8195:Shrout, Ryan (12 December 2016).
7853:from the original on 9 March 2017
7754:Cutress, Ian (22 February 2017).
7592:Manion, Wayne (8 February 2017).
7573:from the original on 23 June 2016
7448:Rulison, Larry (22 August 2016).
7375:from the original on 26 July 2016
7233:from the original on 4 March 2017
7206:from the original on 4 March 2017
6825:from the original on 8 March 2017
6605:
6186:from the original on 21 June 2017
2030:Ryzen 5 1600 CPU on a motherboard
943:Power consumption and heat output
519:SMT (simultaneous multithreading)
9451:
9355:
9337:
9315:
9297:
9271:
9253:
9061:
9049:from the original on 17 May 2017
9034:
9007:
8980:
8937:"AMD Radeon Vega 3 Mobile Specs"
8929:
8905:
8869:
8804:
8780:
8762:
8748:
8720:
8706:
8692:
8678:
8664:
8650:
8636:
8622:
8168:Smith, Ryan (12 December 2016).
7909:from the original on 4 July 2017
7708:from the original on 5 June 2016
7682:from the original on 5 June 2016
7198:Merritt, Rick (24 August 2016).
6620:from the original on 2016-11-26.
6517:from the original on 4 June 2016
6147:Kampman, Jeff (18 August 2016).
6087:from the original on 6 June 2017
6079:Kennedy, Patrick (16 May 2017).
6060:from the original on 17 May 2017
5805:
5422:
5410:
5397:
4232:
2038:Threadripper 1950X TR4 in socket
2001:
1983:
1536:
1479:
1397:
1353:
1110:server processors (successor of
1056:
10690:High core density "Cloud" (Zen
10425:4 cores on mainstream desktop,
9345:"AMD EPYC 7571 - PS7571BDVIHAF"
9305:"AMD EPYC 7371 - PS7371BDVGPAF"
9261:"AMD EPYC 7261 - PS7261BEV8RAF"
9079:from the original on 2017-08-08
8479:Chen, Sam (February 13, 2020).
8440:
8429:from the original on 2017-03-13
8411:
8386:
8361:
8334:
8310:Mark Mantel (7 February 2017).
8303:
8292:from the original on 2016-01-25
8274:
8263:from the original on 2015-12-25
8245:
8234:from the original on 2018-11-24
8215:
8188:
8161:
8111:
8088:
8077:from the original on 2017-03-25
8057:
8046:from the original on 2016-08-04
8032:
8021:from the original on 2016-05-09
8001:
7990:from the original on 2016-05-01
7976:
7949:
7938:from the original on 2016-01-12
7894:
7883:from the original on 2016-02-28
7865:
7832:
7821:from the original on 2017-03-12
7806:
7758:. Anandtech.com. Archived from
7720:
7694:
7667:
7626:from the original on 2018-07-29
7612:
7585:
7558:
7543:from the original on 2016-03-06
7529:
7497:
7486:from the original on 2016-01-25
7468:
7441:
7430:from the original on 2016-01-25
7412:
7401:from the original on 2019-12-22
7387:
7356:
7345:from the original on 2016-01-09
7327:
7316:from the original on 2015-11-04
7302:
7245:
7218:
7191:
7180:from the original on 2020-01-04
7161:
7150:from the original on 2015-10-15
7116:
7107:
7087:Cutress, Ian (18 August 2016).
7066:from the original on 2015-10-29
6994:from the original on 2019-04-07
6975:
6941:
6926:
6895:
6884:from the original on 2018-04-05
6866:
6855:from the original on 2018-06-12
6837:
6795:from the original on 2017-03-28
6780:
6774:
6763:from the original on 2017-09-19
6744:
6733:from the original on 2016-09-19
6712:
6681:
6650:
6639:from the original on 2016-08-19
6624:
6599:
6588:from the original on 2017-06-25
6573:
6548:
6529:
6487:from the original on 2015-05-09
6462:from the original on 2015-05-11
6437:from the original on 2020-10-31
6416:
6405:from the original on 2018-07-02
6391:
6380:from the original on 2020-11-02
6366:
6343:
6323:Cutress, Ian (18 August 2016).
6316:
6305:from the original on 2017-02-06
6287:
6276:from the original on 2017-09-29
6258:
6227:
6216:from the original on 2017-12-10
6198:
6167:
6121:from the original on 8 May 2015
6034:from the original on 2016-03-02
6004:from the original on 2 May 2016
5917:from the original on 2016-12-07
5883:from the original on 2021-10-28
5848:Steamroller (microarchitecture)
5472:L2 cache: 512 KB per core.
2042:
1753:L2 cache: 512 KB per core.
1697:
1679:
1195:L2 cache: 512 KB per core.
1120:AMD Accelerated Processing Unit
985:
740:, which in turn licenses its 14
496:Die shot of an AMD Athlon 3000G
362:. It was first used with their
136:Architecture and classification
10658:3D V-Cache variants introduced
9201:Cutress, Ian (June 20, 2017).
8987:Kampman, Jeff (7 March 2017).
8454:. 2 March 2017. Archived from
8222:Mujtaba, Hassan (2017-03-07).
7252:Wong, Adrian (18 April 2017).
7018:. Softpedia. 28 January 2015.
6688:Walrath, Josh (2 March 2017).
6483:. techreport.com. 6 May 2015.
6234:Brad Chacos (8 January 2016).
6072:
6045:
6016:
5990:
5928:
5869:
2615:
873:
767:Each Zen core can decode four
350:is the first iteration in the
1:
10521:8 cores on mainstream desktop
10453:6 cores on mainstream desktop
9041:Kampman, Jeff (16 May 2017).
9014:Cutress, Ian (7 March 2017).
6052:Kampman, Jeff (16 May 2017).
5863:
5479:lanes per CCD (max 64 lanes).
5403:Models with "P" suffixes are
858:For identical designs, these
760:support and a 95 W TDP (
389:. Zen-based processors use a
8543:Wan, Samuel (5 April 2017).
7956:Shrout, Ryan (30 May 2017).
7727:Ian Cutress (June 2, 2015).
7565:Edward Jones (21 Oct 2016).
6948:Lilly, Paul (23 July 2016),
6726:. Texas A&M University.
6423:Lilly, Paul (28 July 2017).
2054:Die shot of an AMD 2200G APU
880:instructions per cycle (IPC)
817:Advantages over predecessors
769:instructions per clock cycle
7:
10158:AMD CPU core roadmaps from
7674:Smith, Ryan (31 May 2016).
7089:"AMD Zen Microarchitecture"
6982:Schor, David (2018-07-22).
6821:. Phoronix. 17 March 2015.
5821:
5811:
5416:
3032:Ryzen 7 Pro 2700U
2959:Ryzen 5 Pro 2500U
2922:Ryzen 3 Pro 2300U
2007:
1703:
1097:
1043:
928:(up to eight channels) and
612:by AMD engineer Mike Clark.
10:
10816:
10188:
10181:
8714:"AMD Athlon Silver 3050GE"
8644:"AMD Athlon PRO 200GE APU"
8512:"AMD Ryzen 7 1700X Review"
8147:"AMD's AM4 Ryzen Chipsets"
6902:Chen, Sam (24 June 2017).
6580:Ian Cutress (2017-03-02).
5436:Embedded server processors
4950:
4935:
4755:
3657:
3352:
3349:
3346:
3328:
3325:
3318:
3309:
3297:
2810:
2807:
2790:
2783:
2774:
2756:
2263:
2253:
2250:
2233:
2226:
2217:
2196:
1756:Node/fabrication process:
1313:
1198:Node/fabrication process:
1149:
990:Incorporating much of the
382:arrived in November 2017.
266:Raven Ridge (APU/Embedded)
249:Products, models, variants
10736:
10716:
10698:
10689:
10679:
10670:
10662:
10657:
10643:
10633:
10619:
10609:
10597:
10592:
10578:
10569:
10554:
10544:
10534:
10520:
10508:
10500:
10483:
10478:
10467:
10457:
10452:
10438:
10433:
10424:
10412:
10407:
10388:
10383:
10367:
10344:
10339:
10311:
10306:
10299:
10271:
10252:
10224:
10219:
10190:
10183:
10175:
10170:
10109:
10060:
9988:
9903:
9883:
9876:
9827:
9805:
9774:
9674:
9641:
9632:
9522:
7521:: CS1 maint: unfit URL (
7174:Austin American-Statesman
6109:Ryan Smith (6 May 2015).
5752:
5711:
5708:
5702:
5696:
5693:
5658:
5655:
5645:
5639:
5636:
5614:
5596:
5587:
5578:
5575:
5551:
5548:
5541:
5536:
5531:
5526:
5519:
5509:
5498:
5495:
5312:
5281:
5278:
5257:
5254:
5251:
5245:
5242:
5239:
5177:
5174:
5171:
5168:
5165:
5162:
5159:
5156:
5153:
5088:
5085:
5082:
5064:
5061:
5038:
5035:
5026:
5023:
5017:
5014:
4947:
4944:
4938:
4932:
4910:
4907:
4904:
4895:
4886:
4883:
4880:
4871:
4868:
4863:
4858:
4848:
4843:
4838:
4827:
4824:
4651:
4642:
4619:
4610:
4586:
4583:
4578:
4575:
4572:
4565:
4556:
4540:
4537:
4492:
4487:
4484:
4481:
4476:
4466:
4455:
4448:
4441:
4438:
4435:
4430:
4425:
4422:
4305:
4288:
4281:
4268:
4265:
4260:
4257:
4254:
4248:
4238:
4216:
4213:
4208:
4196:
4193:
4176:
4167:
4150:
4143:
4123:
4105:
4057:
4052:
4049:
4046:
4041:
4031:
4020:
4007:
4002:
3995:
3992:
3989:
3984:
3979:
3976:
3861:
3854:
3851:
3848:
3845:
3842:
3837:
3830:
3827:
3820:
3811:
3808:
3805:
3802:
3799:
3749:
3744:
3741:
3738:
3733:
3723:
3712:
3707:
3702:
3695:
3688:
3683:
3680:
3677:
3672:
3667:
3664:
3557:
3554:
3551:
3548:
3494:
3491:
3488:
3467:
3464:
3459:
3450:
3396:
3393:
3390:
3385:
3382:
3379:
3300:
3247:
3242:
3239:
3236:
3231:
3221:
3210:
3205:
3200:
3193:
3186:
3181:
3178:
3175:
3170:
3165:
3162:
3025:
3018:
3015:
3012:
3007:
3001:
2998:
2952:
2947:
2944:
2941:
2938:
2915:
2912:
2907:
2904:
2901:
2898:
2895:
2822:
2813:
2804:
2801:
2796:
2793:
2765:
2708:
2699:
2696:
2693:
2688:
2678:
2667:
2660:
2653:
2646:
2641:
2638:
2635:
2630:
2625:
2622:
2523:
2520:
2517:
2489:
2486:
2483:
2478:
2475:
2472:
2469:
2466:
2453:
2438:
2435:
2432:
2404:
2399:
2396:
2393:
2390:
2387:
2370:
2337:
2334:
2321:
2318:
2305:
2290:AMD 65W thermal solution
2289:
2256:
2247:
2244:
2239:
2236:
2211:
2208:
2206:AMD 65W thermal solution
2148:
2143:
2140:
2137:
2132:
2122:
2111:
2102:
2093:
2087:
2082:
2079:
2076:
2073:
2068:
2063:
2060:
1893:
1884:
1881:
1878:
1875:
1870:
1854:
1820:
1815:
1810:
1805:
1800:
1793:
1783:
1772:
1630:
1625:
1622:
1607:
1589:
1584:
1581:
1578:
1570:
1557:
1518:
1515:
1510:
1507:
1504:
1489:
1471:
1468:
1463:
1460:
1445:
1442:
1425:
1417:
1389:
1386:
1381:
1378:
1345:
1340:
1337:
1319:
1316:
1299:
1291:
1257:
1252:
1247:
1242:
1235:
1225:
1214:
919:
736:process subcontracted to
570:Newly introduced "large"
442:
340:
335:
325:
315:
310:
279:
253:
248:
222:
191:
175:
170:
157:
140:
135:
123:
115:
104:
99:
88:
72:
62:
44:
39:
24:
7200:"AMD Reveals Zen of X86"
7042:"AMD's next gen CPU Zen"
5475:All the CPUs support 32
4412:
3966:
1736:All the CPUs support 64
1178:All the CPUs support 24
675:Machine-check exceptions
7144:"Jim Keller Leaves AMD"
3155:
3055:Radeon RX Vega 11
3005:Radeon RX Vega 10
1743:No integrated graphics.
1185:No integrated graphics.
1114:processors), and APUs.
1087:
1048:
998:, the Zen CPU includes
780:History and development
647:random number generator
171:Physical specifications
10800:X86 microarchitectures
10790:AMD microarchitectures
10770:are future processors
10694:c) variants introduced
9481: – AMD
4767:
2055:
2039:
2031:
1065:This section is empty.
979:
882:over its predecessor.
727:
692:kB page tables into 32
523:Bulldozer architecture
497:
489:
481:
472:
464:
456:
398:instructions per cycle
387:Bulldozer architecture
354:of computer processor
272:Snowy Owl (Server APU)
260:Summit Ridge (Desktop)
212:Up to 32 (server)
209:8–16 (enthusiast)
7901:MAC (30 March 2017).
5838:Jim Keller (engineer)
5482:Fabrication process:
5451:All the CPUs support
4765:
4719:Texture Mapping Units
4380:Texture Mapping Units
3928:Texture mapping units
3624:Texture mapping units
3117:Texture mapping units
2583:Texture Mapping Units
2343:Athlon Silver 3050GE
2053:
2037:
2029:
1725:All the CPUs support
1167:All the CPUs support
974:
937:High Bandwidth Memory
822:Manufacturing process
716:
585:micro-ops per cycle).
572:micro-operation cache
495:
487:
478:
470:
463:Ryzen 3 1200 die shot
462:
450:
372:Intel Developer Forum
206:4–8 (mainstream)
50:; 7 years ago
8481:"What is XFR? (AMD)"
7905:. Hardware Canucks.
5812:Core Complexes (CCX)
5772:80–100 W
5417:Core Complexes (CCX)
3039:Radeon Vega 10
2750:Athlon Pro 200U
2008:Core Complexes (CCX)
1704:Core Complexes (CCX)
1106:CPUs. It is also in
762:thermal design power
633:Binary compatibility
565:floating-point units
203:2–4 (essential)
159:Instruction set
142:Technology node
119:512 KB per core
8836:"AMD Ryzen 5 2400G"
7762:on 27 February 2017
7312:. 2 November 2015.
5733:60–80 W
5672:25–55 W
4723:Render Output Units
4384:Render Output Units
3962:Embedded processors
3932:Render output units
3628:Render output units
3442:Athlon Silver 3050e
3425:Athlon Silver 3050C
3408:Athlon Silver 3050U
3121:Render output units
3073:35–54 W
3026:12–25 W
2986:35–54 W
2945:Radeon Vega 8
2905:Radeon Vega 6
2823:12–25 W
2794:Radeon Vega 3
2587:Render Output Units
2495:Ryzen 5 Pro 2400GE
2410:Ryzen 3 Pro 2200GE
2353:Ryzen 3 Pro 2100GE
1770:Branding and Model
1212:Branding and Model
1015:Socket AM4 chipsets
746:Samsung Electronics
320:Excavator (4th gen)
269:Naples (Server CPU)
73:Common manufacturer
40:General information
21:
9634:Microarchitectures
9619:Microarchitectures
8730:. Hewlett-Packard.
8700:"AMD Athlon 300GE"
7960:. PC Perspective.
7934:. 4 January 2016.
7756:"AMD Launches Zen"
7062:. 3 October 2015.
6878:web.eece.maine.edu
6700:on 12 October 2017
6613:. AMD. p. 7.
6541:2017-07-12 at the
6355:2021-10-29 at the
6083:. Serve the Home.
4768:
4727:Compute Units (CU)
4538:February 25, 2020
4388:Compute Units (CU)
3049:Sep 10, 2018
3045:Ryzen 7 2800H
2996:Oct 26, 2017
2992:Ryzen 7 2700U
2973:Sep 10, 2018
2969:Ryzen 5 2600H
2963:May 15, 2018
2936:Oct 26, 2017
2932:Ryzen 5 2500U
2926:May 15, 2018
2889:Ryzen 3 2300U
2867:Ryzen 3 3200U
2845:Ryzen 3 2200U
2591:Compute Units (CU)
2531:Ryzen 5 Pro 2400G
2446:Ryzen 3 Pro 2200G
2056:
2040:
2032:
1146:Desktop processors
1093:APU features table
688:, which combines 4
622:for modifying the
498:
490:
482:
473:
465:
457:
427:Zen is based on a
356:microarchitectures
292:Ryzen Threadripper
254:Product code names
19:
10777:
10776:
10752:
10751:
10570:APU/mobile-only,
10369:Taylor / Trinidad
10125:
10124:
10105:
10104:
9872:
9871:
9823:
9822:
9465:. March 26, 2023.
9351:. March 25, 2023.
9311:. March 26, 2023.
9267:. March 26, 2023.
9103:. 26 October 2018
8316:PC Games Hardware
7168:Ladendorf, Kirk.
6751:Williams, Chris.
6719:Jiménez, Daniel.
6000:. 13 April 2015.
5776:
5775:
5368:
5367:
5332:
4902:
4893:
4787:microarchitecture
4752:Server processors
4679:
4678:
4626:
4594:
4570:
4563:
4340:
4339:
4286:
4174:
4148:
4141:
3888:
3887:
3884:
3867:
3859:
3825:
3818:
3584:
3583:
3580:
3563:
3534:
3523:Athlon Gold 3150C
3517:
3506:Athlon Gold 3150U
3500:
3476:
3436:
3419:
3402:
3367:
3359:
3323:
3316:
3151:Ultra-mobile APUs
3077:
3076:
3070:
3023:
2983:
2893:Jan 8, 2018
2871:Jan 6, 2019
2849:Jan 8, 2018
2833:Jan 6, 2019
2820:
2788:
2781:
2543:
2542:
2508:February 12, 2018
2423:February 12, 2018
2375:
2314:November 19, 2019
2301:December 21, 2018
2285:December 21, 2018
2272:September 6, 2018
2269:Athlon Pro 200GE
2261:
2231:
2224:
2192:September 6, 2018
2074:Thermal Solution
2066:& price
1954:
1953:
1650:
1649:
1136:K15h (Piledriver)
1085:
1084:
1032:systems. The 128
970:cold boot attacks
895:Intel Broadwell-E
731:14 nanometer
602:branch prediction
345:
344:
263:Whitehaven (HEDT)
10807:
10173:
10172:
10152:
10145:
10138:
10129:
10128:
9881:
9880:
9832:
9831:
9828:Current products
9782:Bobcat (aka 14h)
9775:x86-64 low-power
9639:
9638:
9509:
9502:
9495:
9486:
9485:
9479:Ryzen Processors
9467:
9466:
9455:
9449:
9448:
9446:
9444:
9434:
9426:
9405:
9404:
9402:
9400:
9385:
9379:
9378:
9376:
9374:
9359:
9353:
9352:
9341:
9335:
9334:
9332:
9330:
9319:
9313:
9312:
9301:
9295:
9294:
9292:
9290:
9275:
9269:
9268:
9257:
9251:
9250:
9248:
9246:
9231:
9218:
9217:
9215:
9213:
9198:
9169:
9168:
9166:
9164:
9154:
9146:
9113:
9112:
9110:
9108:
9097:
9088:
9087:
9085:
9084:
9065:
9059:
9058:
9056:
9054:
9038:
9032:
9031:
9029:
9027:
9011:
9005:
9004:
9002:
9000:
8984:
8978:
8977:
8975:
8973:
8958:
8952:
8951:
8949:
8947:
8933:
8927:
8926:
8924:
8923:
8909:
8903:
8902:
8896:
8888:
8886:
8885:
8873:
8867:
8866:
8864:
8863:
8852:
8846:
8845:
8843:
8842:
8832:
8826:
8825:
8823:
8822:
8808:
8802:
8801:
8799:
8798:
8784:
8778:
8777:
8766:
8760:
8759:
8752:
8746:
8745:
8738:
8732:
8731:
8724:
8718:
8717:
8710:
8704:
8703:
8696:
8690:
8689:
8682:
8676:
8675:
8668:
8662:
8661:
8654:
8648:
8647:
8640:
8634:
8633:
8626:
8620:
8619:
8617:
8615:
8600:
8589:
8588:
8586:
8584:
8569:
8560:
8559:
8557:
8555:
8540:
8527:
8526:
8524:
8522:
8507:
8496:
8495:
8493:
8491:
8476:
8467:
8466:
8464:
8463:
8444:
8438:
8437:
8435:
8434:
8415:
8409:
8408:
8406:
8405:
8390:
8384:
8383:
8381:
8380:
8365:
8359:
8358:
8356:
8354:
8338:
8332:
8331:
8329:
8327:
8307:
8301:
8300:
8298:
8297:
8278:
8272:
8271:
8269:
8268:
8249:
8243:
8242:
8240:
8239:
8219:
8213:
8212:
8210:
8208:
8192:
8186:
8185:
8183:
8181:
8165:
8159:
8158:
8156:
8154:
8149:. Tom's Hardware
8142:
8136:
8135:
8133:
8131:
8115:
8109:
8108:
8106:
8104:
8099:. PC Perspective
8092:
8086:
8085:
8083:
8082:
8076:
8069:
8061:
8055:
8054:
8052:
8051:
8036:
8030:
8029:
8027:
8026:
8020:
8013:
8005:
7999:
7998:
7996:
7995:
7980:
7974:
7973:
7971:
7969:
7953:
7947:
7946:
7944:
7943:
7928:
7919:
7918:
7916:
7914:
7898:
7892:
7891:
7889:
7888:
7869:
7863:
7862:
7860:
7858:
7836:
7830:
7829:
7827:
7826:
7810:
7804:
7803:
7801:
7799:
7783:
7772:
7771:
7769:
7767:
7751:
7745:
7744:
7742:
7740:
7724:
7718:
7717:
7715:
7713:
7698:
7692:
7691:
7689:
7687:
7671:
7665:
7664:
7662:
7660:
7641:
7635:
7634:
7632:
7631:
7622:. 27 July 2018.
7616:
7610:
7609:
7607:
7605:
7589:
7583:
7582:
7580:
7578:
7562:
7556:
7555:
7549:
7548:
7533:
7527:
7526:
7520:
7512:
7501:
7495:
7494:
7492:
7491:
7472:
7466:
7465:
7463:
7461:
7445:
7439:
7438:
7436:
7435:
7416:
7410:
7409:
7407:
7406:
7391:
7385:
7384:
7382:
7380:
7360:
7354:
7352:
7351:
7350:
7331:
7325:
7324:
7322:
7321:
7306:
7300:
7299:
7297:
7295:
7286:. 12 June 2015.
7276:
7270:
7269:
7267:
7265:
7249:
7243:
7242:
7240:
7238:
7222:
7216:
7215:
7213:
7211:
7195:
7189:
7188:
7186:
7185:
7165:
7159:
7158:
7156:
7155:
7140:
7134:
7133:
7120:
7114:
7111:
7105:
7104:
7102:
7100:
7084:
7075:
7074:
7072:
7071:
7056:
7050:
7049:
7038:
7032:
7031:
7029:
7027:
7012:
7003:
7002:
7000:
6999:
6979:
6973:
6972:
6967:
6965:
6960:on 21 April 2019
6956:, archived from
6945:
6939:
6938:
6930:
6924:
6923:
6921:
6919:
6908:Custom PC Review
6899:
6893:
6892:
6890:
6889:
6870:
6864:
6863:
6861:
6860:
6841:
6835:
6834:
6832:
6830:
6815:
6804:
6803:
6801:
6800:
6794:
6787:
6778:
6772:
6771:
6769:
6768:
6748:
6742:
6741:
6739:
6738:
6732:
6725:
6716:
6710:
6709:
6707:
6705:
6696:. Archived from
6685:
6679:
6678:
6676:
6674:
6654:
6648:
6647:
6645:
6644:
6628:
6622:
6621:
6619:
6612:
6603:
6597:
6596:
6594:
6593:
6577:
6571:
6570:
6568:
6567:
6558:. Archived from
6552:
6546:
6545:/ AMD, June 2017
6533:
6527:
6526:
6524:
6522:
6502:
6496:
6495:
6493:
6492:
6477:
6471:
6470:
6468:
6467:
6458:. techspot.com.
6452:
6446:
6445:
6443:
6442:
6420:
6414:
6413:
6411:
6410:
6395:
6389:
6388:
6386:
6385:
6370:
6364:
6347:
6341:
6340:
6338:
6336:
6320:
6314:
6313:
6311:
6310:
6291:
6285:
6284:
6282:
6281:
6262:
6256:
6255:
6253:
6251:
6231:
6225:
6224:
6222:
6221:
6202:
6196:
6195:
6193:
6191:
6171:
6165:
6164:
6162:
6160:
6144:
6131:
6130:
6128:
6126:
6106:
6097:
6096:
6094:
6092:
6076:
6070:
6069:
6067:
6065:
6049:
6043:
6042:
6040:
6039:
6020:
6014:
6013:
6011:
6009:
5994:
5988:
5987:
5985:
5983:
5974:. Ars Technica.
5967:
5952:
5951:
5949:
5947:
5932:
5926:
5925:
5923:
5922:
5903:
5892:
5891:
5889:
5888:
5873:
5815:
5809:
5797:
5790:
5783:
5714:
5706:
5677:
5599:
5591:
5493:
5492:
5429:
5426:
5420:
5414:
5408:
5401:
5389:
5382:
5375:
5356:
5327:
5324:
5180:
5136:
5041:
4997:
4965:
4913:
4900:
4891:
4822:
4821:
4745:
4738:Single-precision
4735:
4729:
4712:
4700:
4693:
4686:
4655:
4632:
4622:
4600:
4592:
4568:
4561:
4513:
4508:
4420:
4419:
4406:
4399:Single-precision
4396:
4390:
4373:
4361:
4354:
4347:
4292:
4284:
4180:
4170:
4146:
4139:
4136:
4131:
4127:
4078:
4073:
3974:
3973:
3955:
3948:Single precision
3945:
3939:
3921:
3909:
3902:
3895:
3882:
3879:
3865:
3857:
3823:
3816:
3812:64 KB inst.
3797:
3770:
3765:
3662:
3661:
3651:
3644:Single precision
3641:
3635:
3617:
3605:
3598:
3591:
3578:
3575:
3561:
3546:
3532:
3529:
3515:
3512:
3498:
3482:Athlon PRO 3145B
3474:
3448:
3434:
3431:
3417:
3414:
3400:
3373:Athlon PRO 3045B
3365:
3355:
3321:
3314:
3310:64 KB inst.
3295:
3268:
3263:
3160:
3159:
3144:
3137:Single precision
3134:
3128:
3110:
3098:
3091:
3084:
3068:
3021:
2981:
2816:
2786:
2779:
2775:64 KB inst.
2729:
2724:
2620:
2619:
2609:
2602:Single-precision
2599:
2593:
2576:
2564:
2557:
2550:
2373:
2259:
2229:
2222:
2169:
2164:
2058:
2057:
2020:
2017:
2011:
2005:
1993:
1987:
1975:
1968:
1961:
1947:
1896:
1888:
1850:
1846:
1841:
1837:
1833:
1767:
1766:
1707:
1701:
1689:
1683:
1671:
1664:
1657:
1643:
1610:
1595:
1563:
1534:
1492:
1477:
1448:
1410:
1395:
1366:
1351:
1325:
1287:
1283:
1278:
1274:
1270:
1209:
1208:
1080:
1077:
1067:You can help by
1060:
1053:
869:
865:
744:nm process from
743:
725:
710:Precision Boost.
695:
691:
652:Support for the
581:micro-ops and 4
561:load–store units
454:
280:Brand names
131:(APU: 4 MB)
130:
58:
56:
51:
29:
22:
18:
10815:
10814:
10810:
10809:
10808:
10806:
10805:
10804:
10780:
10779:
10778:
10773:
10555:APU/mobile-only
10185:
10166:
10156:
10126:
10121:
10120:
10101:
10056:
9984:
9899:
9868:
9819:
9801:
9770:
9670:
9628:
9518:
9513:
9475:
9470:
9457:
9456:
9452:
9442:
9440:
9432:
9428:
9427:
9408:
9398:
9396:
9386:
9382:
9372:
9370:
9360:
9356:
9343:
9342:
9338:
9328:
9326:
9321:
9320:
9316:
9303:
9302:
9298:
9288:
9286:
9276:
9272:
9259:
9258:
9254:
9244:
9242:
9232:
9221:
9211:
9209:
9199:
9172:
9162:
9160:
9152:
9148:
9147:
9116:
9106:
9104:
9099:
9098:
9091:
9082:
9080:
9067:
9066:
9062:
9052:
9050:
9045:. Tech Report.
9039:
9035:
9025:
9023:
9012:
9008:
8998:
8996:
8991:. Tech Report.
8985:
8981:
8971:
8969:
8959:
8955:
8945:
8943:
8935:
8934:
8930:
8921:
8919:
8911:
8910:
8906:
8890:
8889:
8883:
8881:
8874:
8870:
8861:
8859:
8854:
8853:
8849:
8840:
8838:
8834:
8833:
8829:
8820:
8818:
8810:
8809:
8805:
8796:
8794:
8786:
8785:
8781:
8768:
8767:
8763:
8754:
8753:
8749:
8740:
8739:
8735:
8726:
8725:
8721:
8712:
8711:
8707:
8698:
8697:
8693:
8684:
8683:
8679:
8670:
8669:
8665:
8656:
8655:
8651:
8642:
8641:
8637:
8628:
8627:
8623:
8613:
8611:
8601:
8592:
8582:
8580:
8570:
8563:
8553:
8551:
8541:
8530:
8520:
8518:
8510:Safford, Matt.
8508:
8499:
8489:
8487:
8477:
8470:
8461:
8459:
8446:
8445:
8441:
8432:
8430:
8417:
8416:
8412:
8403:
8401:
8392:
8391:
8387:
8378:
8376:
8367:
8366:
8362:
8352:
8350:
8339:
8335:
8325:
8323:
8308:
8304:
8295:
8293:
8280:
8279:
8275:
8266:
8264:
8251:
8250:
8246:
8237:
8235:
8220:
8216:
8206:
8204:
8193:
8189:
8179:
8177:
8166:
8162:
8152:
8150:
8143:
8139:
8129:
8127:
8116:
8112:
8102:
8100:
8093:
8089:
8080:
8078:
8074:
8067:
8063:
8062:
8058:
8049:
8047:
8038:
8037:
8033:
8024:
8022:
8018:
8011:
8007:
8006:
8002:
7993:
7991:
7982:
7981:
7977:
7967:
7965:
7954:
7950:
7941:
7939:
7930:
7929:
7922:
7912:
7910:
7899:
7895:
7886:
7884:
7871:
7870:
7866:
7856:
7854:
7837:
7833:
7824:
7822:
7811:
7807:
7797:
7795:
7784:
7775:
7765:
7763:
7752:
7748:
7738:
7736:
7725:
7721:
7711:
7709:
7700:
7699:
7695:
7685:
7683:
7672:
7668:
7658:
7656:
7643:
7642:
7638:
7629:
7627:
7618:
7617:
7613:
7603:
7601:
7596:. Tech Report.
7590:
7586:
7576:
7574:
7569:. Channel Pro.
7563:
7559:
7546:
7544:
7535:
7534:
7530:
7514:
7513:
7503:
7502:
7498:
7489:
7487:
7474:
7473:
7469:
7459:
7457:
7452:. Times Union.
7446:
7442:
7433:
7431:
7418:
7417:
7413:
7404:
7402:
7393:
7392:
7388:
7378:
7376:
7361:
7357:
7348:
7346:
7333:
7332:
7328:
7319:
7317:
7308:
7307:
7303:
7293:
7291:
7278:
7277:
7273:
7263:
7261:
7250:
7246:
7236:
7234:
7229:. VentureBeat.
7223:
7219:
7209:
7207:
7196:
7192:
7183:
7181:
7166:
7162:
7153:
7151:
7142:
7141:
7137:
7122:
7121:
7117:
7112:
7108:
7098:
7096:
7085:
7078:
7069:
7067:
7058:
7057:
7053:
7040:
7039:
7035:
7025:
7023:
7014:
7013:
7006:
6997:
6995:
6980:
6976:
6963:
6961:
6954:hothardware.com
6946:
6942:
6931:
6927:
6917:
6915:
6900:
6896:
6887:
6885:
6872:
6871:
6867:
6858:
6856:
6843:
6842:
6838:
6828:
6826:
6817:
6816:
6807:
6798:
6796:
6792:
6785:
6779:
6775:
6766:
6764:
6749:
6745:
6736:
6734:
6730:
6723:
6717:
6713:
6703:
6701:
6686:
6682:
6672:
6670:
6655:
6651:
6642:
6640:
6629:
6625:
6617:
6610:
6604:
6600:
6591:
6589:
6578:
6574:
6565:
6563:
6554:
6553:
6549:
6543:Wayback Machine
6534:
6530:
6520:
6518:
6503:
6499:
6490:
6488:
6479:
6478:
6474:
6465:
6463:
6454:
6453:
6449:
6440:
6438:
6421:
6417:
6408:
6406:
6397:
6396:
6392:
6383:
6381:
6372:
6371:
6367:
6357:Wayback Machine
6348:
6344:
6334:
6332:
6321:
6317:
6308:
6306:
6293:
6292:
6288:
6279:
6277:
6264:
6263:
6259:
6249:
6247:
6232:
6228:
6219:
6217:
6204:
6203:
6199:
6189:
6187:
6172:
6168:
6158:
6156:
6151:. Tech Report.
6145:
6134:
6124:
6122:
6107:
6100:
6090:
6088:
6077:
6073:
6063:
6061:
6056:. Tech Report.
6050:
6046:
6037:
6035:
6022:
6021:
6017:
6007:
6005:
5996:
5995:
5991:
5981:
5979:
5968:
5955:
5945:
5943:
5933:
5929:
5920:
5918:
5905:
5904:
5895:
5886:
5884:
5875:
5874:
5870:
5866:
5824:
5819:
5818:
5814:Ă— cores per CCX
5810:
5806:
5801:
5543:
5538:
5523:
5502:
5484:GlobalFoundries
5438:
5433:
5432:
5427:
5423:
5419:Ă— cores per CCX
5415:
5411:
5402:
5398:
5393:
5261:155/170 W
5175:155/170 W
5036:155/170 W
4992:155/170 W
4920:All–core
4914:
4899:
4892:(per core)
4890:
4875:
4845:
4831:
4818:in 2P platforms
4816:Infinity Fabric
4760:
4754:
4749:
4748:
4736:
4732:
4715:Unified Shaders
4713:
4709:
4704:
4653:
4643:April 16, 2019
4630:
4621:
4598:
4591:
4580:
4567:
4560:
4558:
4544:
4496:
4494:
4489:
4459:
4444:
4427:
4415:
4410:
4409:
4397:
4393:
4376:Unified Shaders
4374:
4370:
4365:
4329:
4290:
4283:
4262:
4210:
4178:
4169:
4158:
4145:
4138:
4134:
4132:
4125:
4109:
4061:
4059:
4054:
4024:
4015:
4012:
4010:
3998:
3981:
3969:
3964:
3959:
3958:
3946:
3942:
3924:Unified shaders
3922:
3918:
3913:
3856:
3839:
3834:
3832:
3822:
3815:
3814:32 KB data
3813:
3753:
3751:
3746:
3716:
3698:
3692:
3669:
3660:
3655:
3654:
3642:
3638:
3620:Unified shaders
3618:
3614:
3609:
3461:
3387:
3354:
3337:
3332:
3330:
3320:
3313:
3312:32 KB data
3311:
3251:
3249:
3244:
3214:
3196:
3190:
3167:
3158:
3153:
3148:
3147:
3135:
3131:
3113:Unified shaders
3111:
3107:
3102:
3067:
3059:
3020:
3009:
2980:
2949:
2909:
2815:
2798:
2785:
2778:
2777:32 KB data
2776:
2760:
2712:
2710:
2701:
2671:
2656:
2650:
2627:
2618:
2613:
2612:
2600:
2596:
2579:Unified Shaders
2577:
2573:
2568:
2535:
2525:
2509:
2499:
2480:
2463:
2459:Ryzen 5 2400GE
2450:
2440:
2424:
2414:
2401:
2384:
2380:Ryzen 3 2200GE
2372:
2347:
2331:
2315:
2302:
2286:
2273:
2258:
2241:
2228:
2221:
2219:
2200:
2193:
2152:
2150:
2145:
2115:
2106:
2098:
2096:
2065:
2045:
2024:
2023:
2018:
2014:
2010:Ă— cores per CCX
2006:
2002:
1997:
1996:
1988:
1984:
1979:
1946:August 31, 2017
1935:
1895:August 10, 2017
1872:
1856:
1847:
1838:
1834:
1822:
1817:
1812:
1797:
1776:
1758:GlobalFoundries
1713:
1711:
1710:
1706:Ă— cores per CCX
1702:
1698:
1693:
1692:
1684:
1680:
1675:
1627:
1586:
1551:
1512:
1465:
1433:
1383:
1342:
1307:
1284:
1275:
1271:
1259:
1254:
1249:
1239:
1218:
1200:GlobalFoundries
1154:
1148:
1100:
1090:
1081:
1075:
1072:
1051:
1046:
1019:AMD's Crossfire
988:
961:
945:
922:
876:
867:
863:
832:GlobalFoundries
824:
819:
782:
741:
738:GlobalFoundries
726:
723:
707:Smart Prefetch.
693:
689:
604:using a hashed
546:Infinity Fabric
455:KB of L2 cache.
452:
445:
306:
275:
244:
218:
215:
187:
84:
80:GlobalFoundries
54:
52:
49:
35:
17:
12:
11:
5:
10813:
10803:
10802:
10797:
10792:
10775:
10774:
10772:
10771:
10765:
10759:
10756:Strike-through
10750:
10749:
10747:
10740:
10734:
10733:
10731:
10724:
10714:
10713:
10711:
10706:
10696:
10695:
10688:
10683:
10677:
10676:
10669:
10666:
10660:
10659:
10656:
10650:
10649:
10642:
10637:
10631:
10630:
10628:
10623:
10618:
10615:
10614:
10608:
10603:
10596:
10591:
10584:
10576:
10575:
10568:
10563:
10557:
10556:
10553:
10548:
10543:
10538:
10532:
10531:
10529:
10523:
10522:
10519:
10514:
10506:
10505:
10499:
10495:
10494:
10492:
10489:
10482:
10477:
10474:
10473:
10471:
10466:
10461:
10455:
10454:
10451:
10442:
10437:
10431:
10430:
10423:
10418:
10411:
10405:
10404:
10399:
10397:
10392:
10387:
10381:
10380:
10378:
10373:
10371:
10365:
10364:
10357:
10355:
10350:
10348:
10343:
10337:
10336:
10331:
10329:
10322:
10320:
10315:
10310:
10305:
10297:
10296:
10290:
10289:
10283:
10282:
10277:
10269:
10268:
10266:Barton/Thorton
10262:
10261:
10256:
10250:
10249:
10243:
10242:
10236:
10235:
10233:Athlon Classic
10230:
10223:
10218:
10215:
10214:
10211:
10206:
10203:
10197:
10196:
10194:
10189:
10187:
10182:
10180:
10171:
10168:
10167:
10155:
10154:
10147:
10140:
10132:
10123:
10122:
10119:
10118:
10111:
10110:
10107:
10106:
10103:
10102:
10100:
10099:
10098:
10097:
10087:
10082:
10081:
10080:
10070:
10064:
10062:
10058:
10057:
10055:
10054:
10049:
10044:
10039:
10038:
10037:
10027:
10022:
10017:
10016:
10015:
10010:
9999:
9997:
9986:
9985:
9983:
9982:
9977:
9972:
9967:
9966:
9965:
9960:
9950:
9945:
9940:
9935:
9930:
9925:
9920:
9914:
9912:
9901:
9900:
9898:
9897:
9891:
9889:
9878:
9874:
9873:
9870:
9869:
9867:
9866:
9861:
9856:
9851:
9845:
9843:
9829:
9825:
9824:
9821:
9820:
9818:
9817:
9811:
9809:
9803:
9802:
9800:
9799:
9798:
9797:
9792:
9784:
9778:
9776:
9772:
9771:
9769:
9768:
9767:
9766:
9759:
9754:
9749:
9744:
9739:
9734:
9729:
9719:
9718:
9717:
9712:
9707:
9702:
9694:
9689:
9684:
9678:
9676:
9675:x86-64 desktop
9672:
9671:
9669:
9668:
9663:
9658:
9652:
9650:
9636:
9630:
9629:
9627:
9626:
9621:
9616:
9611:
9610:
9609:
9604:
9599:
9594:
9589:
9584:
9579:
9574:
9569:
9568:
9567:
9562:
9557:
9552:
9542:
9537:
9526:
9524:
9520:
9519:
9516:AMD processors
9512:
9511:
9504:
9497:
9489:
9483:
9482:
9474:
9473:External links
9471:
9469:
9468:
9450:
9406:
9394:Tom's Hardware
9380:
9354:
9336:
9314:
9296:
9270:
9252:
9219:
9170:
9159:. January 2019
9114:
9089:
9075:. 2017-06-20.
9060:
9033:
9006:
8979:
8967:Tom's Hardware
8953:
8928:
8904:
8880:. Ars Technica
8868:
8847:
8827:
8803:
8779:
8761:
8747:
8733:
8719:
8705:
8691:
8677:
8663:
8649:
8635:
8621:
8590:
8578:www.guru3d.com
8561:
8528:
8497:
8468:
8439:
8410:
8398:Tom's Hardware
8385:
8373:Tom's Hardware
8360:
8333:
8302:
8273:
8244:
8214:
8187:
8160:
8137:
8110:
8087:
8056:
8031:
8000:
7975:
7948:
7920:
7893:
7864:
7831:
7813:Cutress, Ian.
7805:
7790:. TechReport.
7773:
7746:
7719:
7704:. 7 May 2015.
7693:
7666:
7636:
7611:
7584:
7557:
7539:. 2016-03-02.
7528:
7496:
7467:
7440:
7411:
7397:. 2019-12-22.
7386:
7355:
7326:
7301:
7271:
7244:
7217:
7190:
7160:
7146:. Anand tech.
7135:
7115:
7106:
7076:
7051:
7048:on 2015-11-17.
7033:
7004:
6974:
6940:
6925:
6894:
6865:
6836:
6805:
6773:
6743:
6711:
6694:PC Perspective
6680:
6649:
6631:Cutress, Ian.
6623:
6598:
6572:
6547:
6528:
6497:
6472:
6447:
6415:
6390:
6365:
6342:
6315:
6286:
6257:
6226:
6197:
6174:Cutress, Ian.
6166:
6132:
6098:
6071:
6044:
6015:
5989:
5953:
5927:
5893:
5867:
5865:
5862:
5861:
5860:
5855:
5850:
5845:
5840:
5835:
5830:
5823:
5820:
5817:
5816:
5803:
5802:
5800:
5799:
5792:
5785:
5777:
5774:
5773:
5770:
5767:
5764:
5761:
5755:
5754:
5751:
5748:
5745:
5742:
5739:
5735:
5734:
5731:
5728:
5725:
5722:
5716:
5715:
5710:
5707:
5701:
5698:
5695:
5692:
5689:
5686:
5683:
5679:
5678:
5673:
5670:
5664:
5663:
5660:
5657:
5654:
5648:
5647:
5644:
5641:
5638:
5635:
5632:
5629:
5623:
5622:
5619:
5616:
5613:
5610:
5607:
5601:
5600:
5595:
5592:
5586:
5583:
5580:
5577:
5574:
5571:
5568:
5562:
5561:
5558:
5554:
5553:
5550:
5546:
5545:
5540:
5535:
5530:
5525:
5518:
5508:
5497:
5491:
5490:
5480:
5473:
5470:
5463:
5449:
5437:
5434:
5431:
5430:
5421:
5409:
5395:
5394:
5392:
5391:
5384:
5377:
5369:
5366:
5365:
5360:
5357:
5352:
5349:
5346:
5343:
5337:
5336:
5333:
5325:
5320:
5317:
5314:
5311:
5307:
5306:
5301:
5298:
5292:
5291:
5286:
5283:
5280:
5277:
5271:
5270:
5265:
5262:
5259:
5256:
5253:
5250:
5247:
5244:
5241:
5238:
5232:
5231:
5226:
5223:
5220:
5217:
5214:
5211:
5205:
5204:
5199:
5196:
5190:
5189:
5184:
5181:
5176:
5173:
5170:
5167:
5164:
5161:
5158:
5155:
5152:
5146:
5145:
5140:
5137:
5132:
5129:
5126:
5123:
5120:
5114:
5113:
5108:
5105:
5099:
5098:
5093:
5090:
5087:
5084:
5081:
5075:
5074:
5069:
5066:
5063:
5060:
5057:
5051:
5050:
5045:
5042:
5037:
5034:
5031:
5028:
5025:
5022:
5019:
5016:
5013:
5007:
5006:
5001:
4998:
4993:
4990:
4987:
4984:
4981:
4975:
4974:
4969:
4966:
4961:
4958:
4955:
4952:
4949:
4946:
4943:
4940:
4937:
4934:
4931:
4925:
4924:
4921:
4917:
4916:
4909:
4906:
4903:
4901:(per CCX)
4894:
4885:
4882:
4878:
4877:
4870:
4867:
4862:
4857:
4847:
4842:
4837:
4826:
4820:
4819:
4812:
4806:
4796:
4788:
4782:
4756:Main article:
4753:
4750:
4747:
4746:
4730:
4706:
4705:
4703:
4702:
4695:
4688:
4680:
4677:
4676:
4673:
4670:
4667:
4664:
4658:
4657:
4650:
4647:
4644:
4641:
4635:
4634:
4627:
4618:
4615:
4612:
4609:
4603:
4602:
4595:
4593:single-channel
4588:
4585:
4582:
4577:
4574:
4571:
4564:
4555:
4552:
4549:
4546:
4539:
4536:
4530:
4529:
4524:
4519:
4514:
4509:
4503:
4502:
4491:
4486:
4483:
4480:
4475:
4465:
4453:
4452:
4447:
4440:
4437:
4434:
4429:
4424:
4414:
4411:
4408:
4407:
4391:
4367:
4366:
4364:
4363:
4356:
4349:
4341:
4338:
4337:
4334:
4331:
4326:
4323:
4320:
4319:February 2018
4317:
4311:
4310:
4307:
4304:
4303:December 2018
4301:
4295:
4294:
4287:
4280:
4277:
4271:
4270:
4267:
4264:
4259:
4256:
4253:
4250:
4249:February 2018
4247:
4241:
4240:
4237:
4234:
4231:
4228:
4222:
4221:
4218:
4215:
4212:
4207:
4204:
4201:
4198:
4195:
4194:December 2018
4192:
4186:
4185:
4182:
4175:
4166:
4163:
4160:
4155:
4152:
4149:
4142:
4122:
4119:
4116:
4113:
4104:
4103:February 2018
4101:
4095:
4094:
4089:
4084:
4079:
4074:
4068:
4067:
4056:
4051:
4048:
4045:
4040:
4030:
4018:
4017:
4006:
4001:
3994:
3991:
3988:
3983:
3978:
3968:
3965:
3963:
3960:
3957:
3956:
3940:
3915:
3914:
3912:
3911:
3904:
3897:
3889:
3886:
3885:
3880:
3875:
3869:
3868:
3863:
3860:
3858:single-channel
3853:
3850:
3847:
3844:
3841:
3836:
3829:
3826:
3819:
3810:
3807:
3804:
3801:
3798:
3793:
3787:
3786:
3781:
3776:
3771:
3766:
3760:
3759:
3748:
3743:
3740:
3737:
3732:
3722:
3710:
3709:
3706:
3701:
3694:
3687:
3682:
3679:
3676:
3671:
3666:
3659:
3656:
3653:
3652:
3636:
3611:
3610:
3608:
3607:
3600:
3593:
3585:
3582:
3581:
3576:
3571:
3565:
3564:
3559:
3556:
3553:
3550:
3547:
3542:
3536:
3535:
3530:
3525:
3519:
3518:
3513:
3508:
3502:
3501:
3496:
3493:
3490:
3487:
3484:
3478:
3477:
3472:
3469:
3466:
3463:
3458:
3455:
3452:
3449:
3444:
3438:
3437:
3432:
3427:
3421:
3420:
3415:
3410:
3404:
3403:
3398:
3395:
3392:
3389:
3384:
3381:
3378:
3375:
3369:
3368:
3363:
3360:
3351:
3348:
3345:
3342:
3339:
3334:
3327:
3324:
3317:
3308:
3305:
3302:
3299:
3296:
3291:
3285:
3284:
3279:
3274:
3269:
3264:
3258:
3257:
3246:
3241:
3238:
3235:
3230:
3220:
3208:
3207:
3204:
3199:
3192:
3185:
3180:
3177:
3174:
3169:
3164:
3157:
3154:
3152:
3149:
3146:
3145:
3129:
3104:
3103:
3101:
3100:
3093:
3086:
3078:
3075:
3074:
3071:
3064:
3061:
3056:
3053:
3050:
3047:
3041:
3040:
3037:
3034:
3028:
3027:
3024:
3017:
3014:
3011:
3006:
3003:
3000:
2997:
2994:
2988:
2987:
2984:
2977:
2974:
2971:
2965:
2964:
2961:
2955:
2954:
2951:
2946:
2943:
2940:
2937:
2934:
2928:
2927:
2924:
2918:
2917:
2914:
2911:
2906:
2903:
2900:
2897:
2894:
2891:
2885:
2884:
2881:
2878:
2875:
2872:
2869:
2863:
2862:
2859:
2856:
2853:
2850:
2847:
2841:
2840:
2837:
2834:
2831:
2825:
2824:
2821:
2812:
2809:
2806:
2803:
2800:
2795:
2792:
2789:
2782:
2773:
2770:
2767:
2764:
2755:
2752:
2746:
2745:
2740:
2735:
2730:
2725:
2719:
2718:
2707:
2698:
2695:
2692:
2687:
2677:
2665:
2664:
2659:
2652:
2645:
2640:
2637:
2634:
2629:
2624:
2617:
2614:
2611:
2610:
2594:
2570:
2569:
2567:
2566:
2559:
2552:
2544:
2541:
2540:
2537:
2532:
2528:
2527:
2522:
2519:
2516:
2514:Wraith Stealth
2511:
2506:
2505:Ryzen 5 2400G
2502:
2501:
2496:
2492:
2491:
2488:
2485:
2482:
2477:
2474:
2471:
2468:
2465:
2462:April 19, 2018
2460:
2456:
2455:
2452:
2447:
2443:
2442:
2437:
2434:
2431:
2429:Wraith Stealth
2426:
2421:
2420:Ryzen 3 2200G
2417:
2416:
2411:
2407:
2406:
2403:
2398:
2395:
2392:
2389:
2386:
2383:April 19, 2018
2381:
2377:
2376:
2369:
2366:
2363:
2360:
2354:
2350:
2349:
2344:
2340:
2339:
2336:
2333:
2328:
2324:
2323:
2320:
2317:
2312:
2308:
2307:
2304:
2299:
2295:
2294:
2291:
2288:
2283:
2279:
2278:
2275:
2270:
2266:
2265:
2262:
2255:
2252:
2249:
2246:
2243:
2238:
2235:
2232:
2225:
2216:
2213:
2210:
2207:
2204:
2195:
2190:
2186:
2185:
2180:
2175:
2170:
2165:
2159:
2158:
2147:
2142:
2139:
2136:
2131:
2121:
2109:
2108:
2101:
2092:
2086:
2081:
2078:
2075:
2072:
2067:
2062:
2044:
2041:
2022:
2021:
2012:
1999:
1998:
1995:
1994:
1981:
1980:
1978:
1977:
1970:
1963:
1955:
1952:
1951:
1948:
1943:
1940:
1937:
1932:
1929:
1926:
1920:
1919:
1916:
1913:
1910:
1907:
1901:
1900:
1897:
1892:
1889:
1883:
1880:
1877:
1874:
1869:
1866:
1863:
1858:
1852:
1851:
1842:
1829:
1825:
1824:
1819:
1814:
1809:
1804:
1799:
1792:
1782:
1771:
1765:
1764:
1754:
1751:
1744:
1741:
1734:
1723:
1709:
1708:
1695:
1694:
1691:
1690:
1677:
1676:
1674:
1673:
1666:
1659:
1651:
1648:
1647:
1644:
1639:
1633:
1632:
1629:
1624:
1621:
1615:
1614:
1611:
1606:
1600:
1599:
1596:
1591:
1588:
1583:
1580:
1577:
1572:
1568:
1567:
1564:
1562:April 11, 2017
1559:
1556:
1553:
1548:
1545:
1539:
1538:
1535:
1530:
1524:
1523:
1520:
1517:
1514:
1509:
1506:
1503:
1497:
1496:
1493:
1491:April 11, 2017
1488:
1482:
1481:
1478:
1473:
1470:
1467:
1462:
1459:
1453:
1452:
1449:
1447:April 11, 2017
1444:
1441:
1438:
1435:
1430:
1427:
1424:
1419:
1415:
1414:
1411:
1406:
1400:
1399:
1396:
1391:
1388:
1385:
1380:
1377:
1371:
1370:
1367:
1362:
1356:
1355:
1352:
1347:
1344:
1339:
1336:
1330:
1329:
1326:
1321:
1318:
1315:
1312:
1309:
1304:
1301:
1298:
1293:
1289:
1288:
1279:
1266:
1262:
1261:
1256:
1251:
1246:
1241:
1234:
1224:
1213:
1207:
1206:
1196:
1193:
1186:
1183:
1176:
1165:
1150:Main article:
1147:
1144:
1099:
1096:
1089:
1086:
1083:
1082:
1063:
1061:
1050:
1047:
1045:
1042:
1038:Instinct cards
987:
984:
960:
957:
951:Zen also uses
944:
941:
921:
918:
901:rendering and
875:
872:
823:
820:
818:
815:
781:
778:
773:floating point
721:
715:
714:
711:
708:
705:
704:
703:
697:
678:
671:
670:
669:
663:
657:
650:
630:
627:
616:
613:
610:neural network
598:
595:
589:
586:
583:floating point
575:
568:
549:
538:
516:
444:
441:
343:
342:
338:
337:
336:Support status
333:
332:
327:
323:
322:
317:
313:
312:
308:
307:
305:
304:
299:
294:
289:
283:
281:
277:
276:
274:
273:
270:
267:
264:
261:
257:
255:
251:
250:
246:
245:
243:
242:
237:
232:
226:
224:
220:
219:
217:
216:
214:
213:
210:
207:
204:
200:
197:
195:
189:
188:
186:
185:
181:
179:
173:
172:
168:
167:
161:
155:
154:
144:
138:
137:
133:
132:
127:8 MB per
125:
121:
120:
117:
113:
112:
109:
102:
101:
97:
96:
93:
86:
85:
83:
82:
76:
74:
70:
69:
64:
60:
59:
46:
42:
41:
37:
36:
30:
15:
9:
6:
4:
3:
2:
10812:
10801:
10798:
10796:
10793:
10791:
10788:
10787:
10785:
10769:
10766:
10763:
10760:
10757:
10754:
10753:
10746:
10745:
10741:
10739:
10735:
10730:
10729:
10725:
10723:
10719:
10715:
10710:
10707:
10705:
10701:
10697:
10693:
10687:
10684:
10682:
10678:
10674:
10671:Mobile-only,
10667:
10665:
10661:
10655:
10652:
10651:
10647:
10641:
10638:
10636:
10632:
10627:
10624:
10622:
10616:
10612:
10607:
10604:
10602:
10601:
10595:
10590:
10589:
10585:
10583:
10582:
10577:
10573:
10567:
10564:
10562:
10559:
10558:
10552:
10549:
10547:
10542:
10539:
10537:
10533:
10528:
10525:
10524:
10518:
10515:
10513:
10512:
10511:Bulldozer 15h
10507:
10503:
10497:
10496:
10490:
10488:
10487:
10481:
10475:
10470:
10465:
10462:
10460:
10456:
10450:
10446:
10443:
10441:
10436:
10432:
10428:
10422:
10419:
10417:
10416:
10410:
10406:
10403:
10400:
10398:
10396:
10393:
10391:
10386:
10382:
10377:
10374:
10372:
10370:
10366:
10363:
10362:
10358:
10356:
10354:
10351:
10349:
10347:
10342:
10338:
10335:
10332:
10330:
10327:
10323:
10321:
10319:
10316:
10314:
10309:
10304:
10303:
10298:
10295:
10292:
10291:
10288:
10285:
10284:
10281:
10278:
10276:
10275:
10270:
10267:
10264:
10263:
10260:
10257:
10255:
10251:
10248:
10245:
10244:
10241:
10238:
10237:
10234:
10231:
10229:
10228:
10222:
10216:
10212:
10210:
10207:
10204:
10202:
10199:
10198:
10193:
10178:
10174:
10169:
10165:
10161:
10153:
10148:
10146:
10141:
10139:
10134:
10133:
10130:
10116:
10113:
10112:
10108:
10096:
10093:
10092:
10091:
10088:
10086:
10083:
10079:
10076:
10075:
10074:
10071:
10069:
10066:
10065:
10063:
10059:
10053:
10052:A-series APUs
10050:
10048:
10045:
10043:
10040:
10036:
10033:
10032:
10031:
10028:
10026:
10023:
10021:
10018:
10014:
10011:
10009:
10006:
10005:
10004:
10001:
10000:
9998:
9995:
9991:
9987:
9981:
9978:
9976:
9973:
9971:
9968:
9964:
9961:
9959:
9956:
9955:
9954:
9951:
9949:
9946:
9944:
9941:
9939:
9936:
9934:
9931:
9929:
9926:
9924:
9921:
9919:
9916:
9915:
9913:
9910:
9906:
9902:
9896:
9893:
9892:
9890:
9887:
9882:
9879:
9875:
9865:
9862:
9860:
9857:
9855:
9852:
9850:
9847:
9846:
9844:
9841:
9837:
9833:
9830:
9826:
9816:
9815:K12 (aka 12h)
9813:
9812:
9810:
9808:
9804:
9796:
9793:
9791:
9788:
9787:
9785:
9783:
9780:
9779:
9777:
9773:
9765:
9764:
9760:
9758:
9755:
9753:
9750:
9748:
9745:
9743:
9740:
9738:
9735:
9733:
9730:
9728:
9725:
9724:
9723:
9720:
9716:
9713:
9711:
9708:
9706:
9703:
9701:
9698:
9697:
9695:
9693:
9692:K10 (aka 10h)
9690:
9688:
9685:
9683:
9680:
9679:
9677:
9673:
9667:
9664:
9662:
9659:
9657:
9654:
9653:
9651:
9648:
9644:
9640:
9637:
9635:
9631:
9625:
9622:
9620:
9617:
9615:
9612:
9608:
9605:
9603:
9600:
9598:
9595:
9593:
9590:
9588:
9585:
9583:
9580:
9578:
9575:
9573:
9570:
9566:
9563:
9561:
9558:
9556:
9553:
9551:
9548:
9547:
9546:
9543:
9541:
9538:
9536:
9533:
9532:
9531:
9528:
9527:
9525:
9521:
9517:
9510:
9505:
9503:
9498:
9496:
9491:
9490:
9487:
9480:
9477:
9476:
9464:
9460:
9454:
9438:
9431:
9425:
9423:
9421:
9419:
9417:
9415:
9413:
9411:
9395:
9391:
9384:
9369:
9365:
9358:
9350:
9346:
9340:
9324:
9318:
9310:
9306:
9300:
9285:
9281:
9274:
9266:
9262:
9256:
9241:
9237:
9230:
9228:
9226:
9224:
9208:
9204:
9197:
9195:
9193:
9191:
9189:
9187:
9185:
9183:
9181:
9179:
9177:
9175:
9158:
9151:
9145:
9143:
9141:
9139:
9137:
9135:
9133:
9131:
9129:
9127:
9125:
9123:
9121:
9119:
9102:
9096:
9094:
9078:
9074:
9070:
9064:
9048:
9044:
9037:
9021:
9018:. Anandtech.
9017:
9010:
8994:
8990:
8983:
8968:
8964:
8957:
8942:
8938:
8932:
8918:
8914:
8908:
8900:
8894:
8879:
8872:
8857:
8851:
8837:
8831:
8817:
8813:
8807:
8793:
8789:
8783:
8775:
8771:
8765:
8757:
8751:
8743:
8737:
8729:
8723:
8715:
8709:
8701:
8695:
8687:
8681:
8673:
8667:
8659:
8653:
8645:
8639:
8631:
8625:
8610:
8606:
8599:
8597:
8595:
8579:
8575:
8568:
8566:
8550:
8546:
8539:
8537:
8535:
8533:
8517:
8513:
8506:
8504:
8502:
8486:
8482:
8475:
8473:
8458:on 2017-07-03
8457:
8453:
8452:www.pcper.com
8449:
8443:
8428:
8424:
8420:
8414:
8399:
8395:
8389:
8374:
8370:
8364:
8348:
8344:
8337:
8321:
8318:(in German).
8317:
8313:
8306:
8291:
8287:
8283:
8277:
8262:
8258:
8254:
8248:
8233:
8229:
8225:
8218:
8202:
8198:
8191:
8175:
8172:. Anandtech.
8171:
8164:
8148:
8141:
8125:
8121:
8114:
8098:
8091:
8073:
8066:
8060:
8045:
8041:
8035:
8017:
8010:
8004:
7989:
7985:
7979:
7963:
7959:
7952:
7937:
7933:
7927:
7925:
7908:
7904:
7897:
7882:
7878:
7874:
7868:
7852:
7848:
7847:
7842:
7835:
7820:
7816:
7809:
7793:
7789:
7782:
7780:
7778:
7761:
7757:
7750:
7734:
7731:. Anandtech.
7730:
7723:
7707:
7703:
7697:
7681:
7677:
7670:
7654:
7650:
7646:
7640:
7625:
7621:
7615:
7599:
7595:
7588:
7572:
7568:
7561:
7554:
7542:
7538:
7532:
7524:
7518:
7510:
7506:
7500:
7485:
7481:
7477:
7471:
7455:
7451:
7444:
7429:
7425:
7421:
7415:
7400:
7396:
7390:
7374:
7370:
7366:
7359:
7344:
7340:
7339:Tech power up
7336:
7330:
7315:
7311:
7305:
7289:
7285:
7281:
7275:
7259:
7255:
7248:
7232:
7228:
7221:
7205:
7201:
7194:
7179:
7175:
7171:
7164:
7149:
7145:
7139:
7132:. 7 May 2014.
7131:
7127:
7126:
7119:
7110:
7094:
7091:. Anandtech.
7090:
7083:
7081:
7065:
7061:
7055:
7047:
7043:
7037:
7021:
7017:
7011:
7009:
6993:
6989:
6988:WikiChip Fuse
6985:
6978:
6971:
6959:
6955:
6951:
6944:
6936:
6929:
6913:
6909:
6905:
6898:
6883:
6879:
6875:
6869:
6854:
6850:
6846:
6840:
6824:
6820:
6814:
6812:
6810:
6791:
6784:
6777:
6762:
6758:
6754:
6747:
6729:
6722:
6715:
6699:
6695:
6691:
6684:
6668:
6664:
6660:
6653:
6638:
6634:
6627:
6616:
6609:
6606:Clark, Mike.
6602:
6587:
6583:
6576:
6562:on 2016-03-04
6561:
6557:
6551:
6544:
6540:
6537:
6532:
6516:
6512:
6508:
6501:
6486:
6482:
6476:
6461:
6457:
6451:
6436:
6432:
6431:
6426:
6419:
6404:
6400:
6394:
6379:
6375:
6369:
6362:
6358:
6354:
6351:
6346:
6330:
6327:. Anandtech.
6326:
6319:
6304:
6300:
6296:
6290:
6275:
6271:
6267:
6261:
6245:
6241:
6237:
6230:
6215:
6211:
6207:
6201:
6185:
6181:
6177:
6170:
6154:
6150:
6143:
6141:
6139:
6137:
6120:
6116:
6112:
6105:
6103:
6086:
6082:
6075:
6059:
6055:
6048:
6033:
6029:
6025:
6019:
6003:
5999:
5993:
5977:
5973:
5966:
5964:
5962:
5960:
5958:
5942:
5938:
5931:
5916:
5912:
5908:
5902:
5900:
5898:
5882:
5878:
5872:
5868:
5859:
5856:
5854:
5851:
5849:
5846:
5844:
5841:
5839:
5836:
5834:
5831:
5829:
5826:
5825:
5813:
5808:
5804:
5798:
5793:
5791:
5786:
5784:
5779:
5778:
5771:
5768:
5765:
5762:
5760:
5757:
5756:
5749:
5746:
5743:
5740:
5737:
5736:
5732:
5729:
5726:
5723:
5721:
5718:
5717:
5699:
5690:
5687:
5684:
5681:
5680:
5674:
5671:
5669:
5666:
5665:
5661:
5653:
5650:
5649:
5642:
5633:
5630:
5628:
5625:
5624:
5620:
5617:
5611:
5608:
5606:
5603:
5602:
5593:
5584:
5581:
5572:
5569:
5567:
5564:
5563:
5559:
5556:
5555:
5547:
5534:
5529:
5522:
5521:L3 cache
5516:
5512:
5506:
5501:
5494:
5488:
5485:
5481:
5478:
5474:
5471:
5468:
5464:
5461:
5457:
5454:
5450:
5447:
5443:
5442:
5441:
5425:
5418:
5413:
5406:
5405:uniprocessors
5400:
5396:
5390:
5385:
5383:
5378:
5376:
5371:
5370:
5364:
5361:
5358:
5353:
5350:
5347:
5344:
5342:
5339:
5338:
5334:
5331:
5326:
5321:
5318:
5315:
5309:
5308:
5305:
5302:
5299:
5297:
5294:
5293:
5290:
5287:
5284:
5276:
5273:
5272:
5269:
5266:
5263:
5260:
5248:
5237:
5234:
5233:
5230:
5227:
5224:
5221:
5218:
5215:
5212:
5210:
5207:
5206:
5203:
5200:
5197:
5195:
5192:
5191:
5188:
5185:
5182:
5151:
5148:
5147:
5144:
5141:
5138:
5133:
5130:
5127:
5124:
5121:
5119:
5116:
5115:
5112:
5109:
5106:
5104:
5101:
5100:
5097:
5094:
5091:
5080:
5077:
5076:
5073:
5070:
5067:
5058:
5056:
5053:
5052:
5049:
5046:
5043:
5032:
5029:
5020:
5012:
5009:
5008:
5005:
5002:
4999:
4994:
4991:
4988:
4985:
4982:
4980:
4977:
4976:
4973:
4970:
4967:
4962:
4959:
4956:
4953:
4951:512 KiB
4941:
4930:
4927:
4926:
4922:
4919:
4918:
4898:
4889:
4879:
4874:
4866:
4861:
4855:
4851:
4841:
4835:
4830:
4823:
4817:
4813:
4810:
4809:Eight-channel
4807:
4804:
4800:
4797:
4795:
4794:14 nm process
4792:
4789:
4786:
4783:
4780:
4777:
4776:
4775:
4772:
4764:
4759:
4743:
4739:
4734:
4728:
4724:
4720:
4716:
4711:
4707:
4701:
4696:
4694:
4689:
4687:
4682:
4681:
4674:
4671:
4668:
4665:
4663:
4660:
4659:
4648:
4645:
4640:
4637:
4636:
4628:
4625:
4616:
4613:
4608:
4605:
4604:
4596:
4589:
4553:
4550:
4547:
4543:
4535:
4532:
4531:
4528:
4525:
4523:
4520:
4518:
4515:
4510:
4505:
4504:
4500:
4479:
4473:
4469:
4463:
4458:
4454:
4451:
4446:
4433:
4421:
4418:
4404:
4400:
4395:
4389:
4385:
4381:
4377:
4372:
4368:
4362:
4357:
4355:
4350:
4348:
4343:
4342:
4335:
4332:
4327:
4324:
4321:
4318:
4316:
4313:
4312:
4302:
4300:
4297:
4296:
4278:
4276:
4273:
4272:
4251:
4246:
4243:
4242:
4229:
4227:
4224:
4223:
4219:
4205:
4202:
4199:
4191:
4188:
4187:
4183:
4173:
4164:
4161:
4156:
4153:
4120:
4117:
4114:
4112:
4108:
4102:
4100:
4097:
4096:
4093:
4090:
4088:
4085:
4083:
4080:
4075:
4070:
4069:
4065:
4044:
4038:
4034:
4028:
4023:
4019:
4014:
4005:
4000:
3987:
3975:
3972:
3953:
3949:
3944:
3937:
3936:Compute units
3933:
3929:
3925:
3920:
3916:
3910:
3905:
3903:
3898:
3896:
3891:
3890:
3883:AM301CBRP2OFJ
3881:
3876:
3874:
3871:
3870:
3866:AM3015BRP2OFJ
3864:
3794:
3792:
3789:
3788:
3785:
3782:
3780:
3777:
3775:
3772:
3767:
3762:
3761:
3757:
3736:
3730:
3726:
3720:
3715:
3711:
3705:
3700:
3691:
3686:
3675:
3663:
3649:
3645:
3640:
3633:
3632:Compute units
3629:
3625:
3621:
3616:
3612:
3606:
3601:
3599:
3594:
3592:
3587:
3586:
3579:YM325CC4T2OFG
3577:
3572:
3570:
3569:Ryzen 3 3250C
3567:
3566:
3562:YM3250C4T2OFG
3560:
3543:
3541:
3540:Ryzen 3 3250U
3538:
3537:
3533:YM315CC4T2OFG
3531:
3526:
3524:
3521:
3520:
3516:YM3150C4T2OFG
3514:
3509:
3507:
3504:
3503:
3499:YM3145C4T2OFG
3497:
3485:
3483:
3480:
3479:
3475:YM3050C7T2OFG
3473:
3470:
3456:
3453:
3445:
3443:
3440:
3439:
3435:YM305CC4T2OFG
3433:
3428:
3426:
3423:
3422:
3418:YM3050C4T2OFG
3416:
3411:
3409:
3406:
3405:
3401:YM3045C4T2OFG
3399:
3376:
3374:
3371:
3370:
3366:YM3020C7T2OFG
3364:
3361:
3358:
3343:
3340:
3335:
3306:
3303:
3292:
3290:
3287:
3286:
3283:
3280:
3278:
3275:
3273:
3270:
3265:
3260:
3259:
3255:
3234:
3228:
3224:
3218:
3213:
3209:
3203:
3198:
3189:
3184:
3173:
3161:
3142:
3138:
3133:
3126:
3125:Compute units
3122:
3118:
3114:
3109:
3105:
3099:
3094:
3092:
3087:
3085:
3080:
3079:
3072:
3065:
3062:
3057:
3054:
3051:
3048:
3046:
3043:
3042:
3038:
3036:May 15, 2018
3035:
3033:
3030:
3029:
3004:
2995:
2993:
2990:
2989:
2985:
2978:
2975:
2972:
2970:
2967:
2966:
2962:
2960:
2957:
2956:
2935:
2933:
2930:
2929:
2925:
2923:
2920:
2919:
2892:
2890:
2887:
2886:
2882:
2879:
2876:
2873:
2870:
2868:
2865:
2864:
2860:
2857:
2854:
2851:
2848:
2846:
2843:
2842:
2838:
2835:
2832:
2830:
2827:
2826:
2819:
2771:
2768:
2763:
2759:
2753:
2751:
2748:
2747:
2744:
2741:
2739:
2736:
2734:
2731:
2726:
2721:
2720:
2716:
2705:
2691:
2685:
2681:
2675:
2670:
2666:
2663:
2658:
2649:
2644:
2633:
2621:
2607:
2603:
2598:
2592:
2588:
2584:
2580:
2575:
2571:
2565:
2560:
2558:
2553:
2551:
2546:
2545:
2538:
2533:
2530:
2529:
2515:
2512:
2507:
2504:
2503:
2497:
2494:
2493:
2461:
2458:
2457:
2448:
2445:
2444:
2430:
2427:
2422:
2419:
2418:
2412:
2409:
2408:
2382:
2379:
2378:
2367:
2364:
2361:
2359:
2355:
2352:
2351:
2346:July 21, 2020
2345:
2342:
2341:
2329:
2327:Athlon 300GE
2326:
2325:
2313:
2311:Athlon 3000G
2310:
2309:
2300:
2298:Athlon 240GE
2297:
2296:
2292:
2284:
2282:Athlon 220GE
2281:
2280:
2276:
2271:
2268:
2267:
2205:
2203:
2199:
2191:
2189:Athlon 200GE
2188:
2187:
2184:
2181:
2179:
2176:
2174:
2171:
2166:
2161:
2160:
2156:
2135:
2129:
2125:
2119:
2114:
2110:
2105:
2100:
2090:
2085:
2071:
2059:
2052:
2048:
2036:
2028:
2016:
2009:
2004:
2000:
1991:
1986:
1982:
1976:
1971:
1969:
1964:
1962:
1957:
1956:
1949:
1944:
1941:
1938:
1933:
1930:
1927:
1925:
1921:
1917:
1914:
1911:
1908:
1906:
1902:
1898:
1890:
1867:
1864:
1862:
1857:Threadripper
1853:
1843:
1830:
1827:
1826:
1808:
1803:
1796:
1795:L3 cache
1790:
1786:
1780:
1775:
1768:
1762:
1759:
1755:
1752:
1749:
1745:
1742:
1739:
1735:
1732:
1728:
1724:
1721:
1717:
1716:
1715:
1705:
1700:
1696:
1687:
1682:
1678:
1672:
1667:
1665:
1660:
1658:
1653:
1652:
1645:
1642:July 27, 2017
1640:
1638:
1634:
1620:
1616:
1609:June 29, 2017
1605:
1601:
1597:
1594:July 27, 2017
1592:
1576:
1569:
1565:
1560:
1554:
1549:
1546:
1544:
1540:
1533:June 29, 2017
1531:
1529:
1525:
1521:
1502:
1498:
1494:
1487:
1483:
1476:June 29, 2017
1474:
1458:
1454:
1450:
1439:
1436:
1431:
1428:
1423:
1416:
1412:
1409:March 2, 2017
1407:
1405:
1401:
1394:June 29, 2017
1392:
1376:
1372:
1368:
1365:March 2, 2017
1363:
1361:
1357:
1350:June 29, 2017
1348:
1335:
1331:
1327:
1324:March 2, 2017
1322:
1310:
1305:
1302:
1297:
1290:
1280:
1267:
1264:
1263:
1245:
1238:
1232:
1228:
1222:
1217:
1210:
1204:
1201:
1197:
1194:
1191:
1187:
1184:
1181:
1177:
1174:
1170:
1166:
1163:
1159:
1158:
1157:
1153:
1143:
1139:
1137:
1131:
1129:
1124:
1121:
1115:
1113:
1109:
1105:
1095:
1094:
1079:
1070:
1066:
1062:
1059:
1055:
1054:
1041:
1039:
1035:
1031:
1030:deep learning
1026:
1024:
1020:
1016:
1012:
1009:
1005:
1001:
997:
993:
983:
978:
973:
971:
967:
966:ARM Cortex-A5
956:
954:
949:
940:
938:
933:
931:
927:
924:Zen supports
917:
915:
911:
908:Zen supports
906:
904:
900:
897:processor in
896:
891:
887:
885:
881:
871:
861:
856:
853:
849:
845:
841:
837:
833:
829:
814:
811:
808:
803:
800:
797:
792:
789:
787:
777:
774:
770:
765:
763:
759:
755:
751:
747:
739:
735:
732:
720:
712:
709:
706:
701:
700:
698:
696:kB page size.
687:
683:
679:
676:
672:
667:
664:
661:
658:
655:
651:
648:
644:
641:
640:
638:
635:with Intel's
634:
631:
628:
625:
624:stack pointer
621:
617:
614:
611:
607:
603:
599:
596:
593:
590:
587:
584:
580:
576:
573:
569:
566:
562:
558:
554:
550:
547:
543:
539:
536:
532:
528:
524:
520:
517:
514:
513:write-through
510:
509:
508:
505:
503:
500:According to
494:
486:
477:
469:
461:
449:
440:
437:
434:
430:
425:
423:
419:
415:
411:
407:
403:
399:
395:
392:
388:
383:
381:
377:
373:
369:
365:
361:
357:
353:
349:
339:
334:
331:
328:
324:
321:
318:
314:
309:
303:
300:
298:
295:
293:
290:
288:
285:
284:
282:
278:
271:
268:
265:
262:
259:
258:
256:
252:
247:
241:
238:
236:
233:
231:
228:
227:
225:
221:
211:
208:
205:
202:
201:
199:
198:
196:
194:
190:
183:
182:
180:
178:
174:
169:
165:
162:
160:
156:
152:
148:
145:
143:
139:
134:
126:
122:
118:
114:
110:
108:
103:
98:
94:
91:
87:
81:
78:
77:
75:
71:
68:
65:
61:
55:March 2, 2017
48:March 2, 2017
47:
43:
38:
34:
28:
23:
10768:Italic names
10767:
10761:
10755:
10742:
10726:
10691:
10605:
10598:
10586:
10579:
10535:
10509:
10484:
10458:
10413:
10359:
10300:
10294:SledgeHammer
10272:
10259:Thoroughbred
10225:
10114:
9877:Discontinued
9859:Threadripper
9761:
9462:
9453:
9441:. Retrieved
9436:
9397:. Retrieved
9393:
9383:
9371:. Retrieved
9367:
9357:
9348:
9339:
9327:. Retrieved
9317:
9308:
9299:
9287:. Retrieved
9284:ServeTheHome
9283:
9273:
9264:
9255:
9243:. Retrieved
9240:ServeTheHome
9239:
9210:. Retrieved
9206:
9161:. Retrieved
9156:
9105:. Retrieved
9081:. Retrieved
9072:
9063:
9051:. Retrieved
9036:
9024:. Retrieved
9009:
8997:. Retrieved
8982:
8970:. Retrieved
8966:
8956:
8944:. Retrieved
8940:
8931:
8920:. Retrieved
8916:
8907:
8882:. Retrieved
8871:
8860:. Retrieved
8850:
8839:. Retrieved
8830:
8819:. Retrieved
8815:
8806:
8795:. Retrieved
8791:
8782:
8773:
8764:
8750:
8736:
8722:
8708:
8694:
8680:
8666:
8652:
8638:
8624:
8612:. Retrieved
8608:
8581:. Retrieved
8577:
8552:. Retrieved
8548:
8519:. Retrieved
8515:
8488:. Retrieved
8484:
8460:. Retrieved
8456:the original
8451:
8442:
8431:. Retrieved
8422:
8413:
8402:. Retrieved
8400:. 2017-03-02
8397:
8388:
8377:. Retrieved
8375:. 2012-10-22
8372:
8363:
8351:. Retrieved
8345:. Phoronix.
8336:
8324:. Retrieved
8315:
8305:
8294:. Retrieved
8285:
8276:
8265:. Retrieved
8256:
8247:
8236:. Retrieved
8227:
8217:
8205:. Retrieved
8190:
8178:. Retrieved
8163:
8151:. Retrieved
8140:
8128:. Retrieved
8122:. PC World.
8113:
8101:. Retrieved
8090:
8079:. Retrieved
8059:
8048:. Retrieved
8034:
8023:. Retrieved
8003:
7992:. Retrieved
7978:
7966:. Retrieved
7951:
7940:. Retrieved
7911:. Retrieved
7896:
7885:. Retrieved
7876:
7867:
7855:. Retrieved
7844:
7834:
7823:. Retrieved
7808:
7796:. Retrieved
7764:. Retrieved
7760:the original
7749:
7737:. Retrieved
7722:
7710:. Retrieved
7696:
7684:. Retrieved
7669:
7657:. Retrieved
7648:
7639:
7628:. Retrieved
7614:
7602:. Retrieved
7587:
7575:. Retrieved
7560:
7551:
7545:. Retrieved
7531:
7509:The Inquirer
7508:
7499:
7488:. Retrieved
7479:
7470:
7458:. Retrieved
7443:
7432:. Retrieved
7423:
7414:
7403:. Retrieved
7389:
7377:. Retrieved
7368:
7358:
7347:, retrieved
7338:
7329:
7318:. Retrieved
7304:
7292:. Retrieved
7283:
7274:
7262:. Retrieved
7247:
7235:. Retrieved
7220:
7208:. Retrieved
7202:. EE Times.
7193:
7182:. Retrieved
7173:
7163:
7152:. Retrieved
7138:
7129:
7124:
7118:
7109:
7097:. Retrieved
7068:. Retrieved
7054:
7046:the original
7036:
7024:. Retrieved
6996:. Retrieved
6987:
6977:
6969:
6962:, retrieved
6958:the original
6953:
6943:
6928:
6916:. Retrieved
6907:
6897:
6886:. Retrieved
6877:
6868:
6857:. Retrieved
6848:
6839:
6827:. Retrieved
6797:. Retrieved
6781:Fog, Agner.
6776:
6765:. Retrieved
6757:The Register
6756:
6746:
6735:. Retrieved
6714:
6702:. Retrieved
6698:the original
6693:
6683:
6671:. Retrieved
6662:
6652:
6641:. Retrieved
6626:
6601:
6590:. Retrieved
6575:
6564:. Retrieved
6560:the original
6550:
6531:
6519:. Retrieved
6510:
6500:
6489:. Retrieved
6475:
6464:. Retrieved
6450:
6439:. Retrieved
6428:
6418:
6407:. Retrieved
6393:
6382:. Retrieved
6368:
6345:
6333:. Retrieved
6318:
6307:. Retrieved
6298:
6289:
6278:. Retrieved
6269:
6260:
6248:. Retrieved
6239:
6229:
6218:. Retrieved
6210:store.hp.com
6209:
6200:
6188:. Retrieved
6179:
6169:
6157:. Retrieved
6123:. Retrieved
6089:. Retrieved
6074:
6062:. Retrieved
6047:
6036:. Retrieved
6027:
6018:
6006:. Retrieved
5992:
5980:. Retrieved
5946:November 18,
5944:. Retrieved
5940:
5930:
5919:. Retrieved
5910:
5885:. Retrieved
5871:
5807:
5460:dual-channel
5439:
5424:
5412:
5399:
5258:80 MiB
5172:76 MiB
5065:72 MiB
5033:40 MiB
4989:68 MiB
4957:36 MiB
4784:
4773:
4769:
4733:
4710:
4624:dual-channel
4416:
4394:
4371:
4285:dual-channel
4172:dual-channel
3970:
3943:
3919:
3878:Apr 29, 2021
3708:Part number
3639:
3615:
3574:Sep 22, 2020
3528:Sep 22, 2020
3430:Sep 22, 2020
3357:dual-channel
3206:Part number
3132:
3108:
3069:dual-channel
3022:dual-channel
2982:dual-channel
2818:dual-channel
2597:
2574:
2534:May 10, 2018
2498:May 10, 2018
2449:May 10, 2018
2413:May 10, 2018
2374:dual-channel
2357:
2330:July 7, 2019
2260:dual-channel
2064:Release date
2046:
2043:Desktop APUs
2015:
2003:
1985:
1731:quad-channel
1712:
1699:
1681:
1173:dual-channel
1155:
1140:
1132:
1125:
1116:
1101:
1091:
1073:
1069:adding to it
1064:
1027:
1023:Nvidia's SLI
989:
986:Connectivity
980:
975:
962:
953:clock gating
950:
946:
934:
923:
907:
905:benchmarks.
892:
888:
877:
857:
825:
812:
804:
801:
793:
790:
783:
766:
728:
717:
620:stack engine
618:A dedicated
592:Clock gating
542:Core Complex
541:
506:
499:
438:
426:
384:
347:
346:
10681:5 nm / 4 nm
10588:K12 (ARM64)
10551:Steamroller
10240:Thunderbird
10209:Microarchi.
10201:Microarchi.
9884:Early x86 (
9710:Steamroller
9073:VentureBeat
8941:TechPowerUp
8917:www.amd.com
8816:www.amd.com
8792:www.amd.com
8774:www.amd.com
8485:Gear Primer
8353:13 December
8207:12 December
8180:12 December
7798:13 December
7766:22 February
7739:January 17,
7604:10 February
7424:ExtremeTech
7284:KitGuru.net
7256:. TechArp.
6849:www.amd.com
6270:www.amd.com
6028:TechPowerUp
5911:ExtremeTech
5697:32 MB
5615:16 MB
5351:180 W
5319:200 W
5282:180 W
5255:8 MiB
5222:180 W
5169:8 MiB
5131:200 W
5062:8 MiB
5030:4 MiB
4986:8 MiB
4960:120 W
4954:4 MiB
4557:64 KB inst.
3821:512 KB
3800:14 nm
3796:Jul 6, 2020
3545:Jan 6, 2020
3511:Jan 6, 2020
3447:Jan 6, 2020
3413:Jan 6, 2020
3319:512 KB
3298:14 nm
3294:Jan 6, 2020
2829:Athlon 300U
2784:512 KB
2616:Mobile APUs
2476:RX Vega 11
2254:16 (8+4+4)
2218:64 KB inst.
1939:16 MB
1882:180 W
1879:32 MB
1314:16 MB
1008:PCI Express
992:southbridge
926:DDR4 memory
874:Performance
860:die shrinks
836:Steamroller
750:AMD FX
537:processors.
412:, bringing
316:Predecessor
177:Transistors
63:Designed by
10784:Categories
10762:Bold names
10675:introduced
10613:introduced
10574:introduced
10527:Piledriver
10429:introduced
10318:Winchester
10280:ClawHammer
10184:Node range
9705:Piledriver
9530:Processors
9083:2017-08-08
8922:2019-06-10
8884:2019-06-10
8862:2019-06-10
8858:. TechSpot
8841:2018-01-19
8821:2019-06-10
8797:2019-06-10
8462:2017-03-12
8433:2017-03-12
8404:2017-03-12
8379:2017-03-12
8326:7 February
8296:2016-01-10
8267:2016-01-10
8257:Tech Times
8238:2018-11-24
8199:. PC Per.
8130:13 January
8103:13 January
8081:2017-06-14
8050:2016-05-09
8025:2016-05-09
7994:2016-05-09
7942:2016-01-10
7887:2016-02-24
7825:2017-03-10
7630:2018-07-29
7547:2016-03-07
7490:2016-01-10
7434:2016-01-12
7405:2019-12-22
7349:2016-01-10
7320:2015-11-03
7184:2020-01-04
7154:2015-10-14
7070:2015-10-13
7026:31 January
6998:2019-05-31
6888:2020-11-25
6859:2017-09-19
6799:2016-08-23
6767:2017-09-19
6737:2016-08-23
6643:2016-08-18
6592:2017-06-21
6566:2016-01-11
6521:1 February
6491:2015-05-12
6466:2015-05-12
6441:2020-10-28
6409:2020-10-28
6384:2020-10-28
6309:2017-03-08
6280:2017-09-29
6250:10 January
6220:2017-12-09
6038:2016-01-17
6008:17 January
5921:2015-11-29
5887:2020-11-07
5864:References
5750:85 W
5700:65 W
5662:55 W
5643:30 W
5618:45 W
5585:35 W
5582:8 MB
5511:Clock rate
4850:Clock rate
4801:with four
4744:operation.
4559:32 KB data
4493:Processing
4468:Clock rate
4405:operation.
4058:Processing
4033:Clock rate
3954:operation.
3873:AMD 3015Ce
3828:4 MB
3750:Processing
3725:Clock rate
3650:operation.
3495:15 W
3397:15 W
3326:4 MB
3248:Processing
3223:Clock rate
3143:operation.
2791:4 MB
2709:Processing
2680:Clock rate
2608:operation.
2220:32 KB data
2149:Processing
2124:Clock rate
1785:Clock rate
1558:8 MB
1472:65 W
1440:95 W
1390:65 W
1317:95 W
1227:Clock rate
1076:March 2023
786:Jim Keller
686:coalescing
682:page table
606:perceptron
563:, and two
480:Spreader).
422:SP3 socket
418:TR4 socket
410:AM4 socket
406:write-back
352:Zen family
240:Socket SP3
235:Socket TR4
230:Socket AM4
95:Family 17h
10566:Excavator
10517:Bulldozer
10449:Athlon II
10445:Phenom II
10361:Greyhound
10346:San Diego
10308:Lancaster
10287:Newcastle
10003:Athlon 64
9715:Excavator
9700:Bulldozer
9666:Athlon/K7
9463:CPU-World
9443:March 26,
9373:March 28,
9349:CPU-World
9329:March 28,
9309:CPU-World
9289:March 28,
9265:CPU-World
9207:AnandTech
9163:March 25,
8946:April 25,
7846:Eurogamer
7649:Anandtech
7460:22 August
7294:30 August
7099:18 August
6964:19 August
6673:23 August
6361:AnandTech
6180:AnandTech
6159:18 August
6115:AnandTech
5982:18 August
5941:AnandTech
5557:All-core
5458:-2666 in
4620:DDR4-2400
4590:DDR4-2400
4328:704:44:16
4282:DDR4-3200
4261:512:32:16
4209:512:32:16
4168:DDR4-2400
4157:192:12:16
3862:6 W
3855:DDR4-1600
3852:12 (8+4)
3791:AMD 3015e
3471:6 W
3362:6 W
3353:DDR4-2400
3350:12 (8+4)
3289:AMD 3020e
3066:DDR4-3200
3058:704:44:16
3019:DDR4-2400
3008:640:40:16
2979:DDR4-3200
2948:512:32:16
2814:DDR4-2400
2811:12 (8+4)
2510:US $ 169
2479:704:44:16
2400:512:32:16
1992:at launch
1950:US $ 549
1918:US $ 799
1899:US $ 999
1729:-2666 in
1688:at launch
1646:US $ 109
1598:US $ 129
1566:US $ 169
1522:US $ 189
1495:US $ 219
1451:US $ 249
1413:US $ 329
1369:US $ 399
1334:PRO 1700X
1328:US $ 499
1171:-2666 in
994:into the
903:HandBrake
884:Excavator
866:W, or ~45
848:Kaby Lake
840:Excavator
752:CPUs and
600:Improved
567:per core.
341:Supported
326:Successor
10402:Brisbane
10341:Richmond
10247:Palomino
9980:AMD Élan
9624:Chipsets
9368:Phoronix
9212:June 21,
9077:Archived
9047:Archived
9020:Archived
8993:Archived
8893:cite web
8490:June 11,
8427:Archived
8423:bit-tech
8347:Archived
8320:Archived
8290:Archived
8261:Archived
8232:Archived
8228:Wccftech
8201:Archived
8174:Archived
8124:Archived
8072:Archived
8044:Archived
8016:Archived
7988:Archived
7962:Archived
7936:Archived
7907:Archived
7881:Archived
7877:TechSpot
7857:10 March
7851:Archived
7819:Archived
7792:Archived
7733:Archived
7706:Archived
7680:Archived
7659:23 March
7653:Archived
7624:Archived
7598:Archived
7571:Archived
7553:quarter.
7541:Archived
7517:cite web
7484:Archived
7454:Archived
7428:Archived
7399:Archived
7373:Archived
7343:archived
7314:Archived
7288:Archived
7264:20 April
7258:Archived
7231:Archived
7204:Archived
7178:Archived
7148:Archived
7093:Archived
7064:Archived
7020:Archived
6992:Archived
6912:Archived
6882:Archived
6853:Archived
6829:17 March
6823:Archived
6790:Archived
6761:Archived
6728:Archived
6704:13 March
6667:Archived
6663:WCCFtech
6637:Archived
6615:Archived
6586:Archived
6539:Archived
6515:Archived
6485:Archived
6460:Archived
6435:Archived
6430:PC Gamer
6403:Archived
6378:Archived
6353:Archived
6335:22 March
6329:Archived
6303:Archived
6274:Archived
6244:Archived
6214:Archived
6190:8 August
6184:Archived
6153:Archived
6119:Archived
6085:Archived
6058:Archived
6032:Archived
6002:Archived
5976:Archived
5915:Archived
5881:Archived
5822:See also
5763:16 (32)
5741:16 (16)
5724:12 (24)
5713:Feb 2018
5685:12 (12)
5676:Dec 2018
5598:Feb 2018
5533:Chiplets
5524:(total)
5477:PCIe 3.0
5444:Socket:
5359:$ 4,200
5355:Jun 2017
5323:Nov 2018
5300:$ 3,400
5285:$ 2,100
5264:$ 3,400
5240:32 (64)
5225:$ 2,400
5198:$ 1,850
5183:$ 1,075
5179:Jun 2017
5154:24 (48)
5139:$ 1,550
5135:Nov 2018
5107:$ 1,100
5040:Jun 2017
5015:16 (32)
4996:Jun 2018
4964:Jun 2017
4876:options
4873:Embedded
4869:Release
4840:Chiplets
4721: :
4717: :
4579:192:12:4
4569:per core
4562:per core
4382: :
4378: :
4325:Vega 11
4220:-40–105
4147:per core
4140:per core
4009:Junction
3930: :
3926: :
3838:192:12:4
3833:Graphics
3824:per core
3817:per core
3626: :
3622: :
3486:Q1 2021
3460:192:12:4
3377:Q1 2021
3336:192:12:4
3331:Graphics
3322:per core
3315:per core
3119: :
3115: :
2908:384:24:8
2797:192:12:4
2787:per core
2780:per core
2585: :
2581: :
2425:US $ 99
2356:c. 2019
2316:US $ 49
2303:US $ 75
2287:US $ 65
2240:192:12:4
2230:per core
2223:per core
2194:US $ 55
1909:12 (24)
1865:16 (32)
1807:Chiplets
1798:(total)
1738:PCIe 3.0
1718:Socket:
1619:PRO 1200
1604:PRO 1300
1571:Ryzen 3
1528:PRO 1500
1457:PRO 1600
1418:Ryzen 5
1375:PRO 1700
1292:Ryzen 7
1240:(total)
1237:L3 cache
1180:PCIe 3.0
1160:Socket:
1098:Products
1044:Features
754:AMD APUs
722:—
684:entry)
668:support.
662:support.
166:(x86-64)
124:L3 cache
116:L2 cache
45:Launched
10646:chiplet
10435:Caspian
10395:Orleans
10376:Windsor
10115:Italics
10090:Alchemy
10085:Am29000
10047:Opteron
10020:Sempron
9727:1st gen
9602:Opteron
9592:Sempron
9399:5 April
9245:May 16,
9107:Sep 27,
9026:7 March
8999:7 March
8972:5 April
8913:"Specs"
8812:"Specs"
8788:"Specs"
8609:PCWorld
8549:eTeknix
8286:KitGuru
8153:3 March
7913:14 July
7577:27 June
7480:KitGuru
7379:26 July
7237:3 March
7210:3 March
7130:YouTube
6918:26 July
6511:KitGuru
6299:PCWorld
6240:PCWorld
5833:AMD K10
5656:8 (16)
5542:Release
5539:config
5505:threads
4933:8 (16)
4846:config
4834:threads
4576:Vega 3
4485:Config
4462:threads
4445:support
4426:Release
4336:1830.4
4269:1126.4
4258:Vega 8
4217:1126.4
4206:Vega 8
4154:Vega 3
4137:KB data
4050:Config
4027:threads
3999:support
3980:Release
3835:(Vega)
3742:Config
3719:threads
3699:support
3668:Release
3658:Pollock
3386:128:8:4
3333:(Vega)
3240:Config
3217:threads
3197:support
3166:Release
3063:1830.4
2953:1126.4
2697:Config
2674:threads
2657:support
2626:Release
2397:Vega 8
2237:Vega 3
2141:Config
2118:threads
2099:support
1928:8 (16)
1816:Release
1813:config
1779:threads
1426:6 (12)
1300:8 (16)
1253:Release
1250:config
1221:threads
1112:Opteron
899:Blender
844:Skylake
807:Polaris
637:Skylake
579:integer
433:chip(s)
368:E3 2016
311:History
223:Sockets
53: (
20:AMD Zen
10668:Zen 3+
10648:design
10541:Jaguar
10464:Bobcat
10421:Phenom
10353:Toledo
10254:130 nm
10221:180 nm
10177:Turion
10095:MIPS32
10073:Am2900
10068:Am9080
10030:Phenom
10025:Turion
9994:64-bit
9990:x86-64
9953:Athlon
9948:K6-III
9928:Am5x86
9909:32-bit
9886:16-bit
9849:Athlon
9840:64-bit
9836:x86-64
9790:Jaguar
9747:Zen 3+
9647:32-bit
9597:Turion
9572:Phenom
9545:Athlon
9439:. 2018
9053:16 May
8688:. AMD.
8674:. AMD.
8646:. AMD.
8632:. AMD.
8614:14 May
8583:14 May
8554:14 May
8521:14 May
7968:2 June
7712:4 June
7686:7 June
7369:Forbes
6125:15 May
6091:16 May
6064:16 May
5828:AMD K9
5753:4 Ă— 4
5709:4 Ă— 3
5646:2 Ă— 4
5631:8 (8)
5621:2 Ă— 2
5609:4 (8)
5594:1 Ă— 4
5570:4 (4)
5552:Boost
5496:Model
5243:8 Ă— 4
5157:8 Ă— 3
5092:$ 750
5068:$ 800
5044:$ 650
5018:8 Ă— 2
5000:$ 570
4968:$ 475
4939:8 Ă— 1
4915:(USD)
4905:Total
4884:Boost
4825:Model
4781:socket
4675:460.8
4662:R1606G
4654:
4639:R1505G
4631:
4611:2 (4)
4607:R1305G
4599:
4566:512 KB
4548:2 (2)
4534:R1102G
4499:GFLOPS
4490:(GHz)
4482:Model
4443:Memory
4423:Model
4330:11 CU
4315:V1807B
4299:V1780B
4291:
4275:V1756B
4245:V1605B
4239:0–105
4226:V1500B
4197:4 (8)
4190:V1404I
4184:0–105
4179:
4144:512 KB
4135:
4126:
4115:2 (4)
4099:V1202B
4064:GFLOPS
4055:(GHz)
4047:Model
3997:Memory
3977:Model
3846:230.4
3831:Radeon
3803:2 (4)
3756:GFLOPS
3747:(GHz)
3739:Model
3697:Memory
3693:lanes
3685:Socket
3665:Model
3558:460.8
3451:2 (4)
3394:281.6
3329:Radeon
3301:2 (2)
3254:GFLOPS
3245:(GHz)
3237:Model
3195:Memory
3191:lanes
3183:Socket
3163:Model
3060:11 CU
3010:10 CU
2939:4 (8)
2916:844.8
2896:4 (4)
2883:460.8
2861:422.4
2766:2 (4)
2715:GFLOPS
2694:Model
2655:Memory
2651:lanes
2643:Socket
2623:Model
2481:11 CU
2467:4 (8)
2388:4 (4)
2322:424.4
2227:512 KB
2209:2 (4)
2155:GFLOPS
2146:(GHz)
2138:Model
2097:memory
2091:lanes
2084:Socket
2061:Model
1942:2 Ă— 4
1936:(3.9)
1915:4 Ă— 3
1891:4 Ă— 4
1873:(3.7)
1823:price
1821:Launch
1628:(3.1)
1587:(3.5)
1579:4 (4)
1552:(3.4)
1519:2 Ă— 2
1513:(3.6)
1505:4 (8)
1466:(3.4)
1443:2 Ă— 3
1434:(3.7)
1384:(3.2)
1343:(3.5)
1320:2 Ă— 4
1308:(3.7)
1260:price
1258:Launch
1006:, and
920:Memory
868:
864:
828:FinFET
742:
734:FinFET
694:
690:
643:RDSEED
555:, two
535:Oracle
453:
443:Design
394:FinFET
302:Athlon
151:FinFET
10744:Zen 7
10728:Zen 6
10709:Zen 5
10686:Zen 4
10654:Zen 3
10640:Zen 2
10621:12 nm
10594:14 nm
10546:28 nm
10498:Llano
10480:32 nm
10469:40 nm
10440:45 nm
10390:65 nm
10385:Tyler
10313:90 nm
10186:label
10179:/ ULV
10061:Other
9975:Geode
9970:Duron
9923:Am486
9918:Am386
9905:IA-32
9895:Am286
9854:Ryzen
9807:ARM64
9763:Zen 6
9757:Zen 5
9752:Zen 4
9742:Zen 3
9737:Zen 2
9643:IA-32
9587:Duron
9582:Ryzen
9523:Lists
9433:(PDF)
9153:(PDF)
8516:PCMAG
8075:(PDF)
8068:(PDF)
8019:(PDF)
8012:(PDF)
6904:"XFR"
6793:(PDF)
6786:(PDF)
6731:(PDF)
6724:(PDF)
6618:(PDF)
6611:(PDF)
5858:Zen 2
5843:Ryzen
5769:2.45
5766:2.15
5747:2.25
5744:1.85
5738:3401
5730:2.75
5691:2.15
5682:3301
5549:Base
5544:date
5500:Cores
5487:14 nm
5467:cache
5310:7571
5279:2.55
5275:7551P
5150:7401P
5079:7351P
4912:Price
4908:Date
4881:Base
4860:Cache
4829:Cores
4791:GloFo
4652:12–25
4581:3 CU
4573:4 MB
4545:14LP
4542:GloFo
4512:Boost
4495:power
4488:Clock
4478:Cache
4457:Cores
4428:date
4413:R1000
4306:3.35
4289:35–54
4279:3.25
4263:8 CU
4211:8 CU
4177:12–25
4159:3 CU
4151:4 MB
4130:inst.
4107:GloFo
4077:Boost
4060:power
4053:Clock
4043:Cache
4022:Cores
4016:(°C)
4013:range
4011:temp.
3982:date
3967:V1000
3840:3 CU
3769:Boost
3752:power
3745:Clock
3735:Cache
3714:Cores
3670:date
3462:3 CU
3388:2 CU
3338:3 CU
3267:Boost
3250:power
3243:Clock
3233:Cache
3212:Cores
3168:date
3016:1664
3013:1300
2950:8 CU
2913:1100
2910:6 CU
2880:1200
2858:1100
2802:1000
2799:3 CU
2758:GloFo
2754:2019
2728:Boost
2711:power
2700:Clock
2690:Cache
2669:Cores
2628:date
2487:1760
2484:1.25
2405:1126
2402:8 CU
2242:3 CU
2234:4 MB
2198:GloFo
2168:Boost
2151:power
2144:Clock
2134:Cache
2113:Cores
1924:1900X
1905:1920X
1861:1950X
1855:Ryzen
1828:Base
1818:date
1774:Cores
1748:cache
1733:mode.
1631:3.45
1575:1300X
1555:3.45
1501:1500X
1422:1600X
1387:3.75
1360:1700X
1296:1800X
1265:Base
1255:date
1216:Cores
1203:14 LP
1190:cache
1175:mode.
1152:Ryzen
1128:Ryzen
1104:Ryzen
914:Zen 2
852:10 nm
680:PTE (
551:Four
531:Intel
391:14 nm
364:Ryzen
358:from
287:Ryzen
193:Cores
164:AMD64
147:14 nm
107:cache
100:Cache
90:CPUID
10738:2 nm
10722:2 nm
10718:3 nm
10704:3 nm
10700:4 nm
10673:DDR5
10664:6 nm
10635:7 nm
10626:Zen+
10572:DDR4
10561:Puma
10491:Lynx
10427:DDR3
10409:Lion
10213:Step
10205:Step
10078:list
9943:K6-2
9864:Epyc
9795:Puma
9786:16h
9732:Zen+
9696:15h
9614:APUs
9607:Epyc
9445:2023
9401:2018
9375:2023
9331:2023
9291:2023
9247:2017
9214:2017
9165:2023
9109:2024
9055:2017
9028:2017
9001:2017
8974:2018
8948:2023
8899:link
8616:2024
8585:2024
8556:2024
8523:2024
8492:2020
8355:2016
8328:2017
8209:2016
8182:2016
8155:2017
8132:2017
8105:2017
7970:2017
7915:2017
7859:2017
7800:2016
7768:2017
7741:2016
7714:2016
7688:2016
7661:2016
7606:2017
7579:2016
7523:link
7462:2016
7381:2016
7296:2015
7266:2017
7239:2017
7212:2017
7101:2016
7028:2015
6966:2016
6920:2017
6831:2015
6706:2017
6675:2016
6523:2015
6337:2017
6252:2016
6192:2017
6161:2016
6127:2015
6093:2017
6066:2017
6010:2016
5984:2016
5948:2022
5853:Zen+
5759:3451
5727:1.9
5720:3351
5703:2 x
5694:3.0
5688:2.0
5668:3255
5659:2.5
5652:3251
5640:3.1
5637:3.1
5634:1.5
5627:3201
5612:2.7
5605:3151
5588:1 x
5579:2.9
5576:2.9
5573:2.1
5566:3101
5560:Max
5537:Core
5456:DDR4
5348:3.2
5345:2.7
5341:7601
5328:OEM/
5316:3.0
5313:2.2
5296:7551
5289:755P
5252:3.0
5249:2.6
5246:2.0
5236:7501
5219:3.2
5216:2.9
5213:2.3
5209:7451
5194:7401
5187:740P
5166:3.0
5163:2.8
5160:2.0
5128:3.8
5125:3.6
5122:3.1
5118:7371
5103:7351
5096:735P
5089:2.9
5086:2.9
5083:2.4
5059:2.2
5055:7301
5027:2.7
5024:2.7
5021:2.1
5011:7281
4983:2.5
4979:7261
4948:2.9
4945:2.9
4942:2.1
4929:7251
4923:Max
4844:Core
4766:Epyc
4758:Epyc
4725:and
4672:1.2
4669:3.5
4666:2.6
4649:3.3
4646:2.4
4629:8-10
4617:2.8
4614:1.5
4587:384
4584:1.0
4554:2.6
4551:1.2
4507:Base
4439:GPU
4436:CPU
4386:and
4333:1.3
4322:3.8
4266:1.1
4255:3.6
4252:2.0
4230:2.2
4214:1.1
4203:3.6
4200:2.0
4165:384
4162:1.0
4121:3.2
4118:2.3
4111:14LP
4072:Base
3993:GPU
3990:CPU
3938:(CU)
3934:and
3849:FT5
3843:0.6
3809:2.3
3806:1.2
3764:Base
3690:PCIe
3681:GPU
3678:CPU
3634:(CU)
3630:and
3555:1.2
3552:3.5
3549:2.6
3492:3.3
3489:2.4
3468:384
3465:1.0
3457:2.8
3454:1.4
3391:1.1
3383:3.2
3380:2.3
3347:FP5
3344:384
3341:1.0
3307:2.6
3304:1.2
3262:Base
3188:PCIe
3179:GPU
3176:CPU
3156:DalĂ
3127:(CU)
3123:and
3052:3.3
3002:3.8
2999:2.2
2976:3.2
2942:3.6
2902:3.4
2899:2.0
2877:3.5
2874:2.6
2855:3.4
2852:2.5
2839:3.3
2836:2.4
2808:FP5
2805:384
2772:3.2
2769:2.3
2762:14LP
2723:Base
2648:PCIe
2639:GPU
2636:CPU
2589:and
2539:OEM
2536:OEM
2521:3.9
2518:3.6
2500:OEM
2473:3.8
2470:3.2
2464:OEM
2454:OEM
2451:OEM
2436:3.7
2433:3.5
2415:OEM
2394:3.6
2391:3.2
2385:OEM
2371:2933
2362:3.2
2358:OEM
2348:OEM
2338:3.4
2335:OEM
2332:OEM
2319:1.1
2306:3.5
2293:3.4
2277:OEM
2274:OEM
2257:2667
2251:AM4
2248:384
2245:1.0
2212:3.2
2202:14LP
2163:Base
2107:(W)
2095:DDR4
2089:PCIe
2080:GPU
2077:CPU
1931:3.8
1912:3.5
1885:2 Ă—
1876:4.2
1868:3.4
1840:(≥5)
1811:Core
1761:14LP
1727:DDR4
1637:1200
1626:3.4
1623:3.1
1613:OEM
1590:3.9
1585:3.7
1582:3.5
1550:3.4
1547:3.2
1543:1400
1537:OEM
1516:3.9
1511:3.7
1508:3.5
1486:1600
1480:OEM
1469:3.7
1464:3.6
1461:3.2
1437:4.1
1432:4.0
1429:3.6
1404:1700
1398:OEM
1382:3.7
1379:3.0
1354:OEM
1346:3.9
1341:3.8
1338:3.4
1311:4.1
1306:4.0
1303:3.6
1277:(≥3)
1248:Core
1169:DDR4
1108:Epyc
1088:APUs
1049:CPUs
1034:PCIe
1021:and
1011:NVMe
1000:SATA
910:AVX2
846:and
838:and
758:DDR4
654:SMAP
557:AGUs
553:ALUs
533:and
414:DDR4
380:APUs
376:Epyc
330:Zen+
297:Epyc
92:code
33:ensĹŤ
10611:SMT
10606:Zen
10600:Zen
10581:K12
10536:16h
10502:APU
10486:K10
10459:14h
10415:K10
10324:K8(
10302:K8L
10192:x86
10164:Zen
10162:to
9722:Zen
9437:AMD
9157:AMD
5705:CCD
5590:CCD
5528:TDP
5515:GHz
5465:L1
5453:ECC
5446:SP4
5363:Yes
5335:--
5330:AWS
5304:Yes
5268:Yes
5229:Yes
5202:Yes
5143:Yes
5111:Yes
5072:Yes
5048:Yes
5004:Yes
4972:Yes
4865:TDP
4854:GHz
4803:SOC
4799:MCM
4785:Zen
4779:SP3
4742:FMA
4472:GHz
4450:TDP
4432:Fab
4403:FMA
4128:KB
4037:GHz
4004:TDP
3986:Fab
3952:FMA
3729:GHz
3704:TDP
3674:Fab
3648:FMA
3227:GHz
3202:TDP
3172:Fab
3141:FMA
2704:MHz
2684:GHz
2662:TDP
2632:Fab
2606:FMA
2526:65
2524:45–
2490:35
2441:65
2439:45–
2264:35
2128:GHz
2104:TDP
2070:Fab
1934:4.0
1887:CCD
1871:4.0
1849:1–2
1845:XFR
1836:1–4
1832:PBO
1802:TDP
1789:GHz
1746:L1
1720:TR4
1286:1–2
1282:XFR
1273:1–2
1269:PBO
1244:TDP
1231:GHz
1188:L1
1162:AM4
1071:.
1004:USB
996:SoC
932:.
930:ECC
796:K12
666:SHA
660:ADX
527:IBM
502:AMD
429:SoC
402:SMT
360:AMD
348:Zen
129:CCX
105:L1
67:AMD
10786::
10720:/
10702:/
10447:/
10334:K9
10326:Ă—2
10274:K8
10227:K7
10160:K7
10042:FX
10035:II
10013:II
10008:X2
9963:MP
9958:XP
9938:K6
9933:K5
9687:K9
9682:K8
9661:K6
9656:K5
9577:FX
9565:II
9560:X2
9555:64
9550:XP
9540:K6
9535:K5
9461:.
9435:.
9409:^
9392:.
9366:.
9347:.
9307:.
9282:.
9263:.
9238:.
9222:^
9205:.
9173:^
9155:.
9117:^
9092:^
9071:.
8965:.
8939:.
8915:.
8895:}}
8891:{{
8814:.
8790:.
8772:.
8607:.
8593:^
8576:.
8564:^
8547:.
8531:^
8514:.
8500:^
8483:.
8471:^
8450:.
8425:.
8421:.
8396:.
8371:.
8314:.
8288:.
8284:.
8259:.
8255:.
8230:.
8226:.
8070:.
8042:.
8014:.
7986:.
7923:^
7879:.
7875:.
7849:.
7843:.
7817:.
7776:^
7678:.
7651:.
7647:.
7550:.
7519:}}
7515:{{
7507:.
7482:.
7478:.
7426:.
7422:.
7371:.
7367:.
7341:,
7337:,
7282:.
7176:.
7172:.
7128:.
7079:^
7007:^
6990:.
6986:.
6968:,
6952:,
6910:.
6906:.
6880:.
6876:.
6851:.
6847:.
6808:^
6759:.
6755:.
6692:.
6665:.
6661:.
6635:.
6584:.
6513:.
6509:.
6433:.
6427:.
6401:.
6376:.
6359:.
6301:.
6297:.
6272:.
6268:.
6242:.
6238:.
6212:.
6208:.
6182:.
6178:.
6135:^
6117:.
6113:.
6101:^
6030:.
6026:.
5956:^
5939:.
5913:.
5909:.
5896:^
5517:)
5507:)
4936:4
4897:L3
4888:L2
4856:)
4836:)
4656:W
4633:W
4601:W
4527:L3
4522:L2
4517:L1
4501:)
4474:)
4464:)
4309:—
4293:W
4236:—
4233:—
4181:W
4133:32
4124:64
4092:L3
4087:L2
4082:L1
4066:)
4039:)
4029:)
3784:L3
3779:L2
3774:L1
3758:)
3731:)
3721:)
3282:L3
3277:L2
3272:L1
3256:)
3229:)
3219:)
2743:L3
2738:L2
2733:L1
2717:)
2706:)
2686:)
2676:)
2368:?
2365:?
2215:—
2183:L3
2178:L2
2173:L1
2157:)
2130:)
2120:)
1791:)
1781:)
1233:)
1223:)
1025:.
1002:,
972:.
916:.
529:,
424:.
400:.
10692:x
10328:)
10151:e
10144:t
10137:v
9996:)
9992:(
9911:)
9907:(
9888:)
9842:)
9838:(
9649:)
9645:(
9508:e
9501:t
9494:v
9447:.
9403:.
9377:.
9333:.
9293:.
9249:.
9216:.
9167:.
9111:.
9086:.
9057:.
9030:.
9003:.
8976:.
8950:.
8925:.
8901:)
8887:.
8865:.
8844:.
8824:.
8800:.
8776:.
8758:.
8744:.
8716:.
8702:.
8660:.
8618:.
8587:.
8558:.
8525:.
8494:.
8465:.
8436:.
8407:.
8382:.
8357:.
8330:.
8299:.
8270:.
8241:.
8211:.
8184:.
8157:.
8134:.
8107:.
8084:.
8053:.
8028:.
7997:.
7972:.
7945:.
7917:.
7890:.
7861:.
7828:.
7802:.
7770:.
7743:.
7716:.
7690:.
7663:.
7633:.
7608:.
7581:.
7525:)
7493:.
7464:.
7437:.
7408:.
7383:.
7353:.
7323:.
7298:.
7268:.
7241:.
7214:.
7187:.
7157:.
7103:.
7073:.
7030:.
7001:.
6937:.
6922:.
6891:.
6862:.
6833:.
6802:.
6770:.
6740:.
6708:.
6677:.
6646:.
6595:.
6569:.
6525:.
6494:.
6469:.
6444:.
6412:.
6387:.
6363:.
6339:.
6312:.
6283:.
6254:.
6223:.
6194:.
6163:.
6129:.
6095:.
6068:.
6041:.
6012:.
5986:.
5950:.
5924:.
5890:.
5796:e
5789:t
5782:v
5513:(
5503:(
5489:.
5388:e
5381:t
5374:v
4852:(
4832:(
4699:e
4692:t
4685:v
4597:6
4497:(
4470:(
4460:(
4360:e
4353:t
4346:v
4062:(
4035:(
4025:(
3908:e
3901:t
3894:v
3754:(
3727:(
3717:(
3604:e
3597:t
3590:v
3252:(
3225:(
3215:(
3097:e
3090:t
3083:v
2713:(
2702:(
2682:(
2672:(
2563:e
2556:t
2549:v
2153:(
2126:(
2116:(
1974:e
1967:t
1960:v
1787:(
1777:(
1763:.
1722:.
1670:e
1663:t
1656:v
1229:(
1219:(
1205:.
1164:.
1078:)
1074:(
964:(
677:.
594:.
574:.
559:/
153:)
149:(
57:)
Text is available under the Creative Commons Attribution-ShareAlike License. Additional terms may apply.