3254:
38:
5144:
29:
3889:
4828:
385:
274:
254:
234:
214:
191:
3899:
3300:
3232:
2860:
2834:
404:). This procedure is repeated dozens of times on a single wafer. The photoresist is then further processed to create the actual electronic circuits on the silicon. The optical imaging that ASML's machines deal with is used in the fabrication of nearly all integrated circuits and, as of 2011, ASML had 67 percent of the worldwide sales of lithography machines.
2856:
2830:
1402:
The
Netherlands Association for Investor Relations (NEVIR), where listed companies and professionals in investor relations had the chance to be recognized for their outstanding work in the sector, presented awards to ASML in the categories: "Best Company in the field of Investor Relations" during the
644:
and further development of EUV lithography. This deal was without exclusive rights to future ASML products and, as of July 2012, ASML was offering another 10% of the shares to other companies. As part of their EUV strategy, ASML announced the acquisition of DUV and EUV sources manufacturer Cymer in
356:
and the location for research, development, manufacturing and assembly. ASML employs more than 42,000 people from 143 nationalities and relies on a network of nearly 5,000 tier 1 suppliers. ASML has a worldwide customer base and over sixty service points in sixteen countries. It has offices in the
560:
ASML's EUV machines have experienced a significant surge in demand in recent years, driven by modern electronics' increasing complexity and performance requirements. This surge in demand has translated into steady revenue growth for the company, reaching $ 30 billion in 2023, up from $ 13 billion
493:
EUV lithography is a critical technology used to create the smallest and most complex chip designs. ASML holds a near-monopoly in the EUV market, with no significant direct competitors. The company's machines are capable of etching patterns as small as 8 nanometers, a remarkable achievement given
742:
In
January 2024, the Dutch government placed further restrictions on the shipment of some advanced chip-making equipment to China.On 6 September 2024, the Dutch government tightened export controls on certain ASML chipmaking equipment, aligning its policy with U.S. restrictions to limit China’s
632:
At the end of 2008, ASML experienced a large drop in sales, which led management to cut the workforce by about 1000 worldwide, mostly contract workers and to apply for support from the Dutch national unemployment fund to prevent even larger layoffs. Two and a half years later, ASML expected a
687:
European
Commissioner, visited ASML and announced a goal of at least 20% of world production of semiconductors in Europe by 2030, and support via a European Alliance on semiconductors. After reporting earnings in July 2021, the company said they had a near monopoly for machines used by
727:
In March 2023, the Dutch government placed restrictions on chip exports in order to protect national security. This measure affected ASML as one of the most important companies in the global microchip supply chain. Export license requirements came into effect in
September 2023.
541:
As of 2022, ASML has shipped around 140 EUV systems, and it is the only company to manufacture them. ASML's best-selling EUV product has been the TWINSCAN NXE:3600D, which costs up to $ 200 million. Shipping the machine the size of a truck requires moving 180 tons with three
699:
In
February 2023, ASML claimed that a former worker in China "allegedly" stole information about the company's technology. This was not the first time that ASML was allegedly linked with an intellectual property breach connected to China. In its 2021
3144:
345:(EUV) photolithography machines that are required to manufacture the most advanced chips. As of March 2024, ASML was the most highly valued European tech company, with a market capitalization of about US$ 397 billion.
1421:
Preferred
Quality Supplier (PQS) Award for 2020 was awarded to ASML. ASML has attained a level of performance that continuously surpasses Intel's expectations for the commitment to continual quality improvement.
3024:
584:, which was one of the world's largest producers of computer memory and storage, and ASML's largest customer at that time. The success of the PAS 5500 line propelled ASML into strong competition with
1389:
Spectrum
Emerging Technology 2018 Award was given to ASML for its Extreme Ultraviolet Lithography system. ASML is currently the top supplier of photolithography systems to the semiconductor industry.
1376:
for school children, the development of interactive programs for teaching, assisting community members in need or who are new to the region, as well as supporting a vitality program that is online.
2338:
In
November 2013, following our customers' decision, ASML decided to pause the development of 450 mm lithography systems until customer demand and the timing related to such demand is clear.
2639:
2994:
549:
ASML is working on the next generation of EUV systems, with the first shipments to customers for R&D purposes expected to take place at the end of 2023. The platform is designated
2398:
1498:
5334:
3136:
577:. Nowadays it is a public company. When the company became independent in 1988, it was decided that changing the name was not desirable, and ASML became the official company name.
2498:
622:
In 2000, ASML acquired the
Silicon Valley Group (SVG), a US lithography equipment manufacturer also licensed for EUV research results, in a bid to supply 193 nm scanners to
569:
The company, originally named ASM Lithography, is named ASML as its official name and not an abbreviation. It was founded in 1984 as a joint venture between the Dutch companies
2453:
1849:
4794:
357:
Netherlands, the United States, Belgium, France, Germany, Ireland, Israel, Italy, the United
Kingdom, China, Hong Kong, Japan, South Korea, Malaysia, Singapore, and Taiwan.
3084:
658:
In June 2016, ASML announced their plans to acquire Taiwan-based Hermes Microvision Inc. for about $ 3.1 billion to add technology for creating smaller and more advanced
1873:
3016:
2552:
4485:
1468:
5110:
655:. A number of employees had been found stealing confidential data from its Silicon Valley software subsidiary that develops software for machine optimization.
485:
Deep ultraviolet (DUV) lithography devices from ASML use light that penetrates the UV spectrum to print the tiny features that form the microchip's structure.
2325:
4684:
3114:
2423:
735:
prohibiting discrimination based on nationality, ASML was allowed to reject job applications from residents of countries subject to sanctions under the U.S.
580:
ASML released the lithography system PAS 5500 in 1991, which became an extremely successful platform for the company. The PAS 5500 was first utilized by
5359:
3174:
1528:
2211:
5369:
5314:
4816:
2699:
2581:
1386:
2076:
5329:
5228:
2381:
2649:
5379:
5354:
5213:
2984:
2278:
2253:
2037:
1767:
1745:
1612:
3204:
2775:
2359:
5364:
2808:
1721:
4824:
2234:
5339:
3054:
2395:
1929:
1813:
1642:
1392:
In the category for Popular Prize, Vadim Banine received the 2018 European Inventor Award for shaping the future of microchip manufacturing.
5374:
1490:
1431:
ASML received the first CoSta Award for the most successful and impactful innovative partnership between a corporate company and a startup.
640:
announced a deal to invest $ 4.1 billion into ASML in exchange for 15% ownership, in order to speed up the transition from 300 mm to
4478:
5319:
2490:
1666:
1903:
5349:
5344:
2445:
2301:
2173:
1691:
1424:
The Dutch Innovation Prize 2021 was awarded to ASML at the 4th National BID AVROTROS Innovation Dinner held at Kasteel Wittenburg in
1839:
2722:
1582:
458:
using ASML immersion lithography. As of 2011, their high-end TWINSCAN NXT:1950i system was used for producing features down to 32
5384:
5309:
5132:
3076:
5140:
2723:"College oordeelt: aannamebeleid ASML levert geen verboden onderscheid op - Nieuwsbericht - College voor de Rechten van de Mens"
2128:
2102:
4471:
2192:
1399:(Interuniversity Micro Electronics Centre). Martin van den Brink of ASML was given the 2019 IMEC Lifetime of Innovation Award.
4809:
648:
In November 2013, ASML paused development of 450 mm lithography equipment, citing uncertain timing of chipmaker demand.
2672:
1973:
1870:
1840:"The global battle over chip manufacturing and why the US is trying to stop China from buying machines from the Netherlands"
1491:"ASML is the only company making the $ 200 million machines needed to print every advanced microchip. Here's an inside look"
5304:
3935:
1743:
ASML Enhances NXT:1950i to Meet Challenging Imaging and Overlay Requirements and Provide a Cost Effective Platform for 22nm
709:
2007:
5389:
4694:
607:
it operates under is funded by the US taxpayer, licensing must be approved by Congress. It collaborated with the Belgian
2749:
2616:
2530:
1947:
3864:
705:
670:
600:
534:
memory cells with a prototype EUV lithography machine. After decades of development, ASML shipped the first production
448:
206:
2959:
1464:
5324:
3536:
3438:
3431:
3331:
1410:
Americas Award at the 2020 edition of the microelectronics conference SEMICON West for its collaborative approach to
736:
2318:
4802:
3586:
720:
reported that the former employee who "allegedly" stole data about ASML's technology subsequently went to work for
396:
machines used in the production of computer chips. In these machines, patterns are optically imaged onto a silicon
3106:
2415:
4899:
3678:
3571:
1411:
732:
708:"was actively marketing products in China that could potentially infringe on ASML's IP rights." At the time, the
535:
497:
342:
4520:
4087:
1930:"Wie die niederländische Firma ASML in den technologischen kalten Krieg zwischen den USA und China geraten ist"
641:
595:
In 1997, ASML began studying a shift to using extreme ultraviolet and in 1999 joined a consortium, including
3284:
3166:
1520:
5399:
2933:
2208:
673:
as well as the "technological cold war" between the US and China had been a business opportunity for ASML.
5125:
4704:
3388:
2475:
Thierry Breton (21 May 2021). "Inside the future: Europe's plan to thrive in the global microchip race".
2150:
2060:
1414:(EUV), which helped it become commercially viable and opened the door to new technological possibilities.
652:
570:
531:
4679:
3823:
3363:
3259:
2270:
2250:
2029:
1764:
1742:
1604:
4372:
4327:
3648:
3606:
3196:
2351:
323:
2800:
1713:
470:
that produces light at a wavelength of 193 nm. As of 2011, an average lithography machine cost
3928:
3849:
3458:
2231:
3046:
75:
4507:
Note = "major" equates to companies with an annual revenue of over US$ 3 billion, past or present
3987:
3709:
1634:
146:
5118:
4774:
4495:
4437:
4432:
4322:
4292:
4252:
4072:
4062:
3638:
3468:
2882:
428:
338:
109:
680:
firm Berliner Glas Group in order to meet increasing need for components for its EUV systems.
5394:
5273:
4984:
4964:
4608:
4538:
3566:
3324:
3304:
2907:
440:
4553:
4402:
4272:
4102:
2125:"Intel kills plan to use SVG's 193-nm scanners in production due to delays | EE Times"
1895:
1784:
1663:
731:
In June 2023, the Netherlands' Institute for Human Rights ruled that despite the country's
666:
467:
2299:
2170:
1683:
8:
5014:
4583:
4578:
4247:
3921:
3744:
3546:
2750:"ASML forced to suspend some China exports after US escalates tech battle | CNN Business"
1369:
739:(such as Cuba, Iran, North Korea, and Syria) in order to remain compliant with U.S. law.
693:
599:
and two other U.S. chipmakers, in order to exploit fundamental research conducted by the
397:
5069:
4656:
4636:
4593:
4563:
4377:
4342:
4317:
4257:
4217:
4197:
4142:
3504:
3421:
3253:
2375:
2099:"ASML drops SVG-developed 193-nm scanner to focus on Twinscan platform | EE Times"
1574:
713:
554:
455:
152:
71:
2416:"Trump administration pressed Dutch hard to cancel China chip-equipment sale: sources"
5193:
4738:
4598:
4558:
4417:
4277:
4012:
3874:
3426:
2124:
2098:
2068:
1969:
581:
160:
90:
4929:
3913:
3279:
2189:
5258:
5208:
5099:
4954:
4934:
4712:
4427:
4302:
4222:
4052:
3982:
3902:
3892:
3787:
3739:
3317:
3237:
1943:
1814:"The most powerful company in tech is a niche Dutch business you've never heard of"
513:
393:
327:
266:
174:
5059:
4994:
4748:
4674:
4227:
4187:
4182:
4157:
4127:
3859:
3833:
3714:
3704:
3633:
3473:
3463:
3453:
3448:
2640:"Dutch semiconductor machine export restrictions to come into force in September"
2402:
2305:
2257:
2238:
2215:
2196:
2177:
1877:
1771:
1749:
1670:
717:
669:
tried to block the sale of ASML technology to China, but as of 2021, the ongoing
520:
mirrors onto the surface of a silicon wafer to deliver the designs for the chip.
420:
412:
4463:
5283:
5218:
5178:
4864:
4827:
4758:
4722:
4528:
4422:
4267:
4202:
3997:
3869:
3854:
3797:
3699:
3643:
3551:
2586:
2557:
1999:
1365:
684:
659:
463:
331:
54:
2251:
ASML Announces Customer Co-Investment Program Aimed at Accelerating Innovation
1871:
IMEC presents functional 22 nm SRAM cells fabricated using EUV technology
37:
5298:
5223:
5203:
5188:
5084:
5034:
4832:
4666:
4442:
4307:
4262:
4177:
3977:
3962:
3828:
3802:
3792:
3694:
3378:
2673:"ASML maakt onderscheid op grond van nationaliteit maar dit is niet verboden"
2608:
2520:
2072:
1551:
1395:
The largest independent microelectronics research center in Europe is called
1373:
1372:
from the Brainport region. Together they run various initiatives like soccer
1353:
701:
677:
616:
517:
444:
369:
178:
62:
5004:
3274:
2352:"ASML says it suffered intellectual property theft, rejects 'Chinese' label"
2061:"The Tech Cold War's 'Most Complicated Machine' That's Out of China's Reach"
527:
research center in Belgium produced the world's first functional 22 nm
5049:
4753:
4626:
4297:
4237:
4112:
4042:
4017:
3782:
3749:
3734:
3729:
3724:
557:(NA) from 0.33 to 0.55, and each system is expected to cost $ 300 million.
452:
424:
3269:
326:
founded in 1984. ASML specializes in the development and manufacturing of
5152:
5143:
4919:
4869:
4447:
4412:
4407:
4382:
4232:
4147:
4122:
4027:
3628:
3383:
2171:
ASML Takes Action to Adjust Its Organization Due to Severe Order Slowdown
401:
353:
320:
28:
2985:"IEEE Spectrum Emerging Technology Award ASML 2018 IEEE honors ceremony"
5173:
5079:
4621:
4392:
4007:
3957:
3945:
3762:
3754:
3673:
3411:
3289:
835:
623:
585:
543:
501:
373:
226:
95:
2700:"Dutch human rights body: ASML may follow US export rules when hiring"
2324:. United States Securities and Exchange Commission. 11 February 2014.
5268:
5233:
5183:
5148:
5094:
5019:
4989:
4974:
4939:
4717:
4387:
4357:
4282:
4242:
4192:
4092:
4032:
3668:
3623:
3576:
2776:"Dutch government retakes export control over two ASML tools from US"
1844:
1425:
1361:
743:
access to advanced technology amid safety and geopolitical concerns.
629:
In 2002, it became the largest supplier of photolithography systems.
459:
408:
361:
349:
129:
80:
43:
4969:
5278:
5243:
5163:
5089:
4884:
4836:
4779:
4651:
4641:
4631:
4588:
4397:
4367:
4172:
4167:
4152:
4057:
4037:
3719:
3663:
3591:
3443:
3416:
2553:"ASML Stolen Data Came From Technical Repository for Chip Machines"
2525:
2271:"Intel funds next-gen chipmaking, buys into ASML for $ 4.1 billion"
612:
156:
2582:"Ex-ASML Staff Accused of Theft Went to Work for Huawei, NRC Says"
5248:
5074:
4979:
4959:
4879:
4533:
4452:
4362:
4347:
4287:
4132:
4097:
3818:
3658:
3556:
3541:
3340:
2644:
2000:"How Does ASML Make Money? The ASML Business Model In A Nutshell"
1357:
795:
574:
384:
2491:"ASML shares fall on report US wants to restrict sales to China"
676:
In November 2020, ASML revealed that it had acquired the German
5253:
5054:
5044:
5039:
5029:
4999:
4924:
4874:
4854:
4646:
4568:
4548:
4352:
4337:
4332:
4312:
4212:
4162:
4137:
4117:
4107:
4077:
4047:
3972:
3653:
3561:
3299:
2609:"US-China chip war: Netherlands moves to restrict some exports"
1329:
Investment Managers Ser Tr-WCM Focused International Growth Fd
875:
721:
365:
86:
3137:"ASML earns Intel's 2020 Preferred Quality Supplier PQS Award"
592:, who were the leaders in that era of the lithography market.
5168:
5024:
4909:
4859:
4573:
4543:
4207:
4082:
4002:
3992:
3368:
2396:
ASML to Acquire Taiwan's Hermes Microvision for $ 3.1 Billion
1418:
637:
604:
596:
589:
505:
416:
246:
5263:
5009:
4944:
4904:
4689:
3581:
2989:
1717:
1467:. US Securities and Exchange Commission. 14 February 2024.
1407:
1396:
689:
608:
528:
524:
472:
195:
3309:
3260:"The Silicon Age: Trends in Semiconductor Devices Industry
494:
that human hair is approximately 80,000 nanometers thick.
5238:
5064:
4949:
4914:
4894:
4616:
4067:
3967:
509:
400:
that is covered with a film of light-sensitive material (
5335:
Multinational companies headquartered in the Netherlands
3247:
2521:"US-China chip war: ASML says China employee stole data"
368:
Stock Exchanges, as ASML. It is also a component of the
299:
16:
Dutch manufacturer of semiconductor production equipment
2721:
Veiligheid, Ministerie van Justitie en (20 June 2023).
2311:
516:, which then emits EUV light. The light is bounced off
3943:
1550:
O'Grady, Carmel; Kenyon, Matthew (21 February 2023).
451:(TSMC). In 2004, TSMC began commercial production of
3227:
3077:"ASML, BESI, Basic Fit winners Dutch IR Awards 2019"
1927:
2446:"ASML acquisition of Berliner Glas Group completed"
2190:
Chip-Equipment Firm ASML Cuts Outlook, Sets Layoffs
1552:"How ASML became Europe's most valuable tech firm"
4493:
2801:"ASML Dividende | KGV | Bilanz | Umsatz | Gewinn"
2350:Deutsch, Toby Sterling, Anthony (11 April 2019).
1549:
500:(EUV) machines produce light in the 13.5 nm
5296:
1993:
1991:
716:against ASML. In October 2023, Dutch newspaper
2474:
2232:ASML wins funds for chip technology from Intel
1664:ASML: Sustainability - performance and targets
1605:"ASML HOLDING - Euronext exchange Live quotes"
1244:Advisors Inner Circle Fund-Edgewood Growth Fd
337:As of 2023 it is the largest supplier for the
5126:
4810:
4479:
3929:
3325:
1988:
1484:
1482:
1480:
1478:
508:is focused on microscopic droplets of molten
2883:"Sports, arts and music initiatives at ASML"
2380:: CS1 maint: multiple names: authors list (
2022:
1831:
1760:
1758:
1736:
1295:Invesco ETF Tr-Invesco QQQ Tr, Series 1 ETF
462:at up to 200 wafers per hour, using a water
317:Advanced Semiconductor Materials Lithography
1890:
1888:
1886:
1403:Dutch IR Awards 2019 annual award ceremony.
5133:
5119:
4817:
4803:
4486:
4472:
3936:
3922:
3332:
3318:
3252:
2720:
1475:
1101:State Farm Mutual Automobile Insurance Co
5360:Electronics companies established in 1984
1755:
1569:
1567:
1565:
1513:
5370:Technology companies established in 1984
5315:Electronics companies of the Netherlands
2773:
2697:
1883:
1807:
1805:
434:
383:
5330:Technology companies of the Netherlands
3107:"ASML wins semi-Americas Award for EUV"
2349:
1997:
1488:
5380:Companies listed on Euronext Amsterdam
5355:Computer companies established in 1984
5297:
2747:
2670:
2637:
2328:from the original on 24 September 2015
1923:
1921:
1562:
1521:"Largest tech companies by market cap"
1471:from the original on 14 February 2024.
1261:Price (T.Rowe) Growth Stock Fund Inc.
341:and the sole supplier in the world of
5365:Holding companies established in 1984
5114:
4798:
4467:
3917:
3313:
3265:Business data for ASML Holding N.V.:
3207:from the original on 13 November 2022
3087:from the original on 13 November 2022
3057:from the original on 13 November 2022
3027:from the original on 13 November 2022
2997:from the original on 13 November 2022
2533:from the original on 16 February 2023
2319:"ASML 2013 Annual Report Form (20-F)"
2131:from the original on 7 September 2014
2105:from the original on 7 September 2014
2058:
1928:Christoph G. Schmutz (30 July 2021).
1837:
1811:
1802:
1645:from the original on 25 December 2021
1501:from the original on 14 November 2022
1465:"ASML 2023 Annual Report (Form 20-F)"
5340:Holding companies of the Netherlands
3898:
3197:"ASML and Incooling Win CoSta Award"
3177:from the original on 30 October 2022
3147:from the original on 30 October 2022
3117:from the original on 30 October 2022
2863:from the original on 10 October 2022
2837:from the original on 10 October 2022
2426:from the original on 9 November 2022
2079:from the original on 16 January 2023
1998:Cuofano, Gennaro (11 October 2022).
1880:. IMEC press release, 22 April 2009.
1684:"Burn Lin: The 2023 SPIE Mozi Award"
1575:"2022 Combined Annual Report - ASML"
1531:from the original on 9 November 2022
1459:
1457:
1455:
1453:
1451:
1449:
1447:
1445:
710:United States Department of Commerce
619:in Germany for its need of mirrors.
348:ASML's corporate headquarters is in
5375:Dutch companies established in 1984
2774:Sterling, Toby (6 September 2024).
2579:
2308:ASML Press release, 17 October 2012
2052:
1944:"About ASML: Questions and Answers"
1918:
447:in the 1970s, ASML cooperated with
330:machines which are used to produce
13:
3865:Philips Sports Manager of the Year
3167:"ASML Wins Dutch Innovation Prize"
2811:from the original on 26 March 2022
2501:from the original on 14 March 2023
2468:
2260:. ASML Press release, 9 July 2012.
2040:from the original on 31 March 2023
2010:from the original on 31 March 2023
1906:from the original on 31 March 2023
1852:from the original on 31 March 2023
1356:soccer club in 2019 together with
706:Dongfang Jingyuan Electron Limited
488:
480:
449:Taiwan Semiconductor Manufacturing
360:The company is listed on both the
14:
5411:
5320:Equipment semiconductor companies
3537:Broadcast Television Systems Inc.
3439:Philips Natuurkundig Laboratorium
3432:Philips Lumileds Lighting Company
3223:
2934:"PSV and ASML expand cooperation"
2748:Madhok, Diksha (2 January 2024).
2619:from the original on 9 March 2023
2456:from the original on 5 March 2021
2281:from the original on 31 July 2020
1976:from the original on 28 July 2010
1950:from the original on 28 July 2010
1724:from the original on 26 June 2019
1615:from the original on 9 April 2023
1585:from the original on 9 April 2023
1442:
1227:Washington Mutual Investors Fund
737:Export Administration Regulations
538:machine in either 2011 or 2013.
5350:Organisations based in Veldhoven
5345:Companies based in North Brabant
5142:
4826:
3897:
3888:
3887:
3512:Philips-Neusoft Medical Systems
3298:
3230:
2362:from the original on 10 May 2021
1838:Patel, Nilay (31 January 2023).
1489:Tarasov, Katie (23 March 2022).
1033:Capital International Investors
407:ASML's competition consisted of
273:
272:
253:
252:
233:
232:
213:
212:
190:
189:
36:
27:
4845:Last updated: 18 September 2023
3679:Video Content Protection System
3572:Philips Consumer Communications
3189:
3159:
3129:
3099:
3069:
3039:
3009:
2977:
2952:
2926:
2908:"Brainport and companies unite"
2900:
2875:
2849:
2823:
2793:
2767:
2741:
2714:
2698:Sterling, Toby (26 June 2023).
2691:
2664:
2631:
2601:
2573:
2545:
2513:
2483:
2438:
2408:
2388:
2343:
2293:
2263:
2244:
2225:
2202:
2183:
2164:
2143:
2117:
2091:
1962:
1936:
1864:
1812:Nover, Scott (20 August 2024).
1777:
1706:
1694:from the original on 6 May 2023
1412:extreme ultraviolet lithography
1347:
1067:WCM Investment Management, LLC
982:Price (T. Rowe) Associates Inc
536:extreme ultraviolet lithography
498:Extreme ultraviolet lithography
343:extreme ultraviolet lithography
5385:Companies in the Euro Stoxx 50
5310:Companies listed on the Nasdaq
4088:Coca-Cola Europacific Partners
3017:"European Inventor Award 2018"
2580:Koc, Cagan (23 October 2023).
2405:." 15 June 2016. 16 June 2016.
1896:"ASML EUV lithography systems"
1785:"ASML EUV lithography systems"
1752:. Press release, 12 July 2011.
1676:
1657:
1627:
1597:
1543:
1135:Sands Capital Management, LLC
954:
1:
3469:PolyGram Filmed Entertainment
2638:Corder, Mike (30 June 2023).
1635:"Quotes For Nasdaq-100 Index"
1435:
1366:Royal Swinkels Family Brewers
1352:ASML became a sponsor of the
1016:Fisher Asset Management, LLC
752:Financial data in € millions
2199:. WSJ.com, 19 December 2008.
1084:Edgewood Management Company
696:to make the advanced chips.
7:
5305:Companies in the Nasdaq-100
3567:NEC Philips Unified Systems
3389:Shenzhen Goldway Industrial
3339:
2857:"Retrieved 6 November 2022"
2831:"Retrieved 6 November 2022"
2477:European Commission website
2030:"Three decades of PAS 5500"
1210:iShares Core MSCI EAFE ETF
1193:Growth Fund Of America Inc
746:
653:intellectual property theft
532:Static random-access memory
379:
10:
5416:
5390:Companies in the AEX index
3824:High Tech Campus Eindhoven
3364:Philips Consumer Lifestyle
2151:"Litho-History Milestones"
2059:Clark, Don (4 July 2021).
1765:Third quarter 2011 results
960:Top institutional holders
564:
388:A diagonally cut ASML lens
315:, originally standing for
121:1988 (independent company)
42:Corporate headquarters in
5159:
4850:
4843:
4767:
4731:
4703:
4665:
4607:
4519:
4515:
4502:
3953:
3883:
3842:
3811:
3775:
3687:
3649:Philips Intimate Massager
3616:
3604:
3522:
3497:
3488:
3402:
3356:
3347:
3047:"ASML Man wins top award"
1379:
1312:New Perspective Fund Inc
504:range when a high-energy
324:multinational corporation
294:
284:
264:
244:
224:
204:
185:
170:
136:
125:
115:
105:
60:
50:
35:
26:
5325:Nanotechnology companies
3459:Philips Classics Records
2401:4 September 2016 at the
2241:. Reuters, 10 July 2012.
1154:Top mutual fund holders
999:Capital World Investors
712:expressed concern about
553:as it will increase the
4496:semiconductor companies
2671:mkivit (20 June 2023).
2237:1 November 2021 at the
2220:The Wall Street Journal
1714:"90 nm Technology"
1176:American Balanced Fund
651:In 2015, ASML suffered
601:US Department of Energy
311:(commonly shortened to
4775:Cadence Design Systems
4438:Warner Bros. Discovery
4293:Mondelez International
4253:Marriott International
4073:Charter Communications
3639:Philips Cinema 21:9 TV
3395:Corporate Technologies
2394:Ian King, Bloomberg. "
1669:14 August 2018 at the
1525:CompaniesMarketcap.com
1278:iShares MSCI Eafe ETF
704:, ASML mentioned that
443:was first proposed by
429:Cadence Design Systems
389:
339:semiconductor industry
110:Semiconductor industry
5274:Universal Music Group
4985:Infineon Technologies
4965:Flutter Entertainment
4539:Infineon Technologies
3307:at Wikimedia Commons
2209:ASML Sees Record Year
2176:15 March 2010 at the
1774:. ASML press release.
633:record-high revenue.
441:immersion lithography
435:Immersion lithography
387:
4885:Anheuser-Busch InBev
4554:Microchip Technology
4403:Take-Two Interactive
4103:Constellation Energy
3081:cffcommunications.nl
2960:"Annual Report 2021"
2727:www.mensenrechten.nl
2529:. 16 February 2023.
2304:8 March 2014 at the
2256:12 July 2012 at the
1972:. 20 February 2014.
1770:5 April 2012 at the
1748:5 April 2012 at the
1581:. 15 February 2023.
671:global chip shortage
667:Trump administration
561:five years earlier.
468:argon fluoride laser
145:Christophe Fouquet (
119:1984 (joint venture)
5400:Photonics companies
4584:Samsung Electronics
4579:Renesas Electronics
4323:O'Reilly Auto Parts
3745:Philips VideoWriter
3547:LG.Philips Displays
3375:Philips Healthcare
3173:. 27 October 2021.
3083:. 11 January 2019.
2452:. 2 November 2020.
2214:25 May 2018 at the
2195:25 May 2018 at the
1876:1 June 2010 at the
1155:
961:
753:
694:Samsung Electronics
678:optical glassmaking
456:semiconductor nodes
286:Number of employees
23:
5070:Schneider Electric
4657:Skyworks Solutions
4637:Marvell Technology
4594:STMicroelectronics
4564:NXP Semiconductors
4378:Roper Technologies
4343:Palo Alto Networks
4218:Intuitive Surgical
4198:Idexx Laboratories
4143:Diamondback Energy
3505:NXP Semiconductors
3489:Joint ventures and
3422:NXP Semiconductors
3141:marketscreener.com
2914:. 18 December 2023
2561:. 15 February 2023
2422:. 6 January 2020.
2065:The New York Times
1970:"ASML: About ASML"
1406:ASML received the
1370:Jumbo Supermarkets
1318:29 September 2022
1301:29 September 2022
1284:29 September 2022
1233:29 September 2022
1216:29 September 2022
1199:29 September 2022
1182:29 September 2022
1153:
1073:29 September 2022
959:
751:
714:economic espionage
642:450 mm wafers
555:numerical aperture
392:ASML produces the
390:
153:Gerard Kleisterlee
72:Euronext Amsterdam
21:
5292:
5291:
5194:ASM International
5151:companies of the
5108:
5107:
4835:companies of the
4792:
4791:
4788:
4787:
4739:Applied Materials
4599:Texas Instruments
4559:Micron Technology
4461:
4460:
4418:Texas Instruments
4013:Applied Materials
3944:Companies of the
3911:
3910:
3875:Philips Computers
3771:
3770:
3600:
3599:
3515:
3509:
3484:
3483:
3303:Media related to
3171:siliconcanals.com
3143:. 30 March 2021.
3051:eindhovennews.com
2158:www.lithoguru.com
1673:. ASML.com (2011)
1345:
1344:
1151:
1150:
952:
951:
582:Micron Technology
309:ASML Holding N.V.
306:
305:
161:supervisory board
22:ASML Holding N.V.
5407:
5209:BE Semiconductor
5147:
5146:
5135:
5128:
5121:
5112:
5111:
4955:EssilorLuxottica
4935:Deutsche Telekom
4831:
4830:
4819:
4812:
4805:
4796:
4795:
4713:Amkor Technology
4517:
4516:
4488:
4481:
4474:
4465:
4464:
4303:Monster Beverage
4223:Keurig Dr Pepper
4053:Booking Holdings
3938:
3931:
3924:
3915:
3914:
3901:
3900:
3891:
3890:
3788:Frans van Houten
3740:Philips Videopac
3614:
3613:
3513:
3507:
3495:
3494:
3427:Philips Lighting
3354:
3353:
3334:
3327:
3320:
3311:
3310:
3302:
3256:
3251:
3250:
3248:Official website
3240:
3238:Companies portal
3235:
3234:
3233:
3217:
3216:
3214:
3212:
3193:
3187:
3186:
3184:
3182:
3163:
3157:
3156:
3154:
3152:
3133:
3127:
3126:
3124:
3122:
3113:. 20 July 2020.
3103:
3097:
3096:
3094:
3092:
3073:
3067:
3066:
3064:
3062:
3043:
3037:
3036:
3034:
3032:
3013:
3007:
3006:
3004:
3002:
2981:
2975:
2974:
2972:
2970:
2956:
2950:
2949:
2947:
2945:
2930:
2924:
2923:
2921:
2919:
2904:
2898:
2897:
2895:
2893:
2879:
2873:
2872:
2870:
2868:
2853:
2847:
2846:
2844:
2842:
2827:
2821:
2820:
2818:
2816:
2797:
2791:
2790:
2788:
2786:
2771:
2765:
2764:
2762:
2760:
2745:
2739:
2738:
2736:
2734:
2718:
2712:
2711:
2709:
2707:
2695:
2689:
2688:
2686:
2684:
2668:
2662:
2661:
2659:
2657:
2648:. Archived from
2635:
2629:
2628:
2626:
2624:
2615:. 9 March 2023.
2605:
2599:
2598:
2596:
2594:
2577:
2571:
2570:
2568:
2566:
2549:
2543:
2542:
2540:
2538:
2517:
2511:
2510:
2508:
2506:
2487:
2481:
2480:
2472:
2466:
2465:
2463:
2461:
2442:
2436:
2435:
2433:
2431:
2412:
2406:
2392:
2386:
2385:
2379:
2371:
2369:
2367:
2347:
2341:
2340:
2335:
2333:
2323:
2315:
2309:
2297:
2291:
2290:
2288:
2286:
2267:
2261:
2248:
2242:
2229:
2223:
2206:
2200:
2187:
2181:
2168:
2162:
2161:
2155:
2147:
2141:
2140:
2138:
2136:
2121:
2115:
2114:
2112:
2110:
2095:
2089:
2088:
2086:
2084:
2056:
2050:
2049:
2047:
2045:
2026:
2020:
2019:
2017:
2015:
1995:
1986:
1985:
1983:
1981:
1966:
1960:
1959:
1957:
1955:
1946:. ASML Holding.
1940:
1934:
1933:
1925:
1916:
1915:
1913:
1911:
1892:
1881:
1868:
1862:
1861:
1859:
1857:
1835:
1829:
1828:
1826:
1824:
1809:
1800:
1799:
1797:
1795:
1781:
1775:
1762:
1753:
1740:
1734:
1733:
1731:
1729:
1710:
1704:
1703:
1701:
1699:
1680:
1674:
1661:
1655:
1654:
1652:
1650:
1631:
1625:
1624:
1622:
1620:
1601:
1595:
1594:
1592:
1590:
1571:
1560:
1559:
1547:
1541:
1540:
1538:
1536:
1527:. 9 April 2023.
1517:
1511:
1510:
1508:
1506:
1486:
1473:
1472:
1461:
1156:
1152:
962:
958:
754:
750:
476:
394:photolithography
328:photolithography
302:
279:
276:
275:
269:
259:
256:
255:
249:
239:
236:
235:
219:
216:
215:
207:Operating income
199:
193:
192:
177:systems for the
175:Photolithography
40:
31:
24:
20:
5415:
5414:
5410:
5409:
5408:
5406:
5405:
5404:
5295:
5294:
5293:
5288:
5155:
5141:
5139:
5109:
5104:
4995:Intesa Sanpaolo
4846:
4839:
4825:
4823:
4793:
4784:
4763:
4727:
4699:
4680:Samsung Foundry
4675:GlobalFoundries
4661:
4603:
4511:
4498:
4492:
4462:
4457:
4188:GlobalFoundries
4158:Electronic Arts
3949:
3942:
3912:
3907:
3879:
3860:Philips Lecture
3838:
3834:Philips Stadion
3807:
3767:
3683:
3609:
3596:
3524:
3518:
3490:
3480:
3474:Vertigo Records
3464:Philips Records
3454:Mercury Records
3449:Fontana Records
3404:
3398:
3349:
3343:
3338:
3294:
3246:
3245:
3236:
3231:
3229:
3226:
3221:
3220:
3210:
3208:
3195:
3194:
3190:
3180:
3178:
3165:
3164:
3160:
3150:
3148:
3135:
3134:
3130:
3120:
3118:
3105:
3104:
3100:
3090:
3088:
3075:
3074:
3070:
3060:
3058:
3053:. 15 May 2019.
3045:
3044:
3040:
3030:
3028:
3015:
3014:
3010:
3000:
2998:
2993:. 30 May 2018.
2983:
2982:
2978:
2968:
2966:
2958:
2957:
2953:
2943:
2941:
2932:
2931:
2927:
2917:
2915:
2906:
2905:
2901:
2891:
2889:
2881:
2880:
2876:
2866:
2864:
2855:
2854:
2850:
2840:
2838:
2829:
2828:
2824:
2814:
2812:
2799:
2798:
2794:
2784:
2782:
2772:
2768:
2758:
2756:
2746:
2742:
2732:
2730:
2719:
2715:
2705:
2703:
2696:
2692:
2682:
2680:
2669:
2665:
2655:
2653:
2652:on 30 June 2023
2636:
2632:
2622:
2620:
2607:
2606:
2602:
2592:
2590:
2578:
2574:
2564:
2562:
2551:
2550:
2546:
2536:
2534:
2519:
2518:
2514:
2504:
2502:
2497:. 6 July 2022.
2489:
2488:
2484:
2473:
2469:
2459:
2457:
2444:
2443:
2439:
2429:
2427:
2414:
2413:
2409:
2403:Wayback Machine
2393:
2389:
2373:
2372:
2365:
2363:
2348:
2344:
2331:
2329:
2321:
2317:
2316:
2312:
2306:Wayback Machine
2298:
2294:
2284:
2282:
2277:. 9 July 2012.
2269:
2268:
2264:
2258:Wayback Machine
2249:
2245:
2239:Wayback Machine
2230:
2226:
2216:Wayback Machine
2207:
2203:
2197:Wayback Machine
2188:
2184:
2178:Wayback Machine
2169:
2165:
2153:
2149:
2148:
2144:
2134:
2132:
2123:
2122:
2118:
2108:
2106:
2097:
2096:
2092:
2082:
2080:
2057:
2053:
2043:
2041:
2028:
2027:
2023:
2013:
2011:
1996:
1989:
1979:
1977:
1968:
1967:
1963:
1953:
1951:
1942:
1941:
1937:
1926:
1919:
1909:
1907:
1894:
1893:
1884:
1878:Wayback Machine
1869:
1865:
1855:
1853:
1836:
1832:
1822:
1820:
1810:
1803:
1793:
1791:
1783:
1782:
1778:
1772:Wayback Machine
1763:
1756:
1750:Wayback Machine
1741:
1737:
1727:
1725:
1712:
1711:
1707:
1697:
1695:
1682:
1681:
1677:
1671:Wayback Machine
1662:
1658:
1648:
1646:
1633:
1632:
1628:
1618:
1616:
1603:
1602:
1598:
1588:
1586:
1573:
1572:
1563:
1548:
1544:
1534:
1532:
1519:
1518:
1514:
1504:
1502:
1487:
1476:
1463:
1462:
1443:
1438:
1382:
1350:
1050:Morgan Stanley
957:
749:
718:NRC Handelsblad
567:
491:
489:EUV lithography
483:
481:DUV lithography
471:
437:
421:MKS Instruments
382:
298:
287:
277:
265:
257:
245:
237:
229:
217:
209:
194:
166:
139:
120:
101:
65:
46:
17:
12:
11:
5:
5413:
5403:
5402:
5397:
5392:
5387:
5382:
5377:
5372:
5367:
5362:
5357:
5352:
5347:
5342:
5337:
5332:
5327:
5322:
5317:
5312:
5307:
5290:
5289:
5287:
5286:
5284:Wolters Kluwer
5281:
5276:
5271:
5266:
5261:
5256:
5251:
5246:
5241:
5236:
5231:
5226:
5221:
5216:
5211:
5206:
5201:
5196:
5191:
5186:
5181:
5179:Ahold Delhaize
5176:
5171:
5166:
5160:
5157:
5156:
5138:
5137:
5130:
5123:
5115:
5106:
5105:
5103:
5102:
5097:
5092:
5087:
5082:
5077:
5072:
5067:
5062:
5057:
5052:
5047:
5042:
5037:
5032:
5027:
5022:
5017:
5012:
5007:
5002:
4997:
4992:
4987:
4982:
4977:
4972:
4967:
4962:
4957:
4952:
4947:
4942:
4937:
4932:
4930:Deutsche Börse
4927:
4922:
4917:
4912:
4907:
4902:
4897:
4892:
4887:
4882:
4877:
4872:
4867:
4865:Ahold Delhaize
4862:
4857:
4851:
4848:
4847:
4844:
4841:
4840:
4822:
4821:
4814:
4807:
4799:
4790:
4789:
4786:
4785:
4783:
4782:
4777:
4771:
4769:
4765:
4764:
4762:
4761:
4759:Tokyo Electron
4756:
4751:
4746:
4741:
4735:
4733:
4729:
4728:
4726:
4725:
4720:
4715:
4709:
4707:
4701:
4700:
4698:
4697:
4692:
4687:
4682:
4677:
4671:
4669:
4663:
4662:
4660:
4659:
4654:
4649:
4644:
4639:
4634:
4629:
4624:
4619:
4613:
4611:
4605:
4604:
4602:
4601:
4596:
4591:
4586:
4581:
4576:
4571:
4566:
4561:
4556:
4551:
4546:
4541:
4536:
4531:
4529:Analog Devices
4525:
4523:
4513:
4512:
4510:
4509:
4503:
4500:
4499:
4491:
4490:
4483:
4476:
4468:
4459:
4458:
4456:
4455:
4450:
4445:
4440:
4435:
4430:
4425:
4420:
4415:
4410:
4405:
4400:
4395:
4390:
4385:
4380:
4375:
4370:
4365:
4360:
4355:
4350:
4345:
4340:
4335:
4330:
4325:
4320:
4315:
4310:
4305:
4300:
4295:
4290:
4285:
4280:
4275:
4270:
4265:
4260:
4255:
4250:
4245:
4240:
4235:
4230:
4225:
4220:
4215:
4210:
4205:
4200:
4195:
4190:
4185:
4180:
4175:
4170:
4165:
4160:
4155:
4150:
4145:
4140:
4135:
4130:
4125:
4120:
4115:
4110:
4105:
4100:
4095:
4090:
4085:
4080:
4075:
4070:
4065:
4060:
4055:
4050:
4045:
4040:
4035:
4030:
4025:
4020:
4015:
4010:
4005:
4000:
3998:Analog Devices
3995:
3990:
3985:
3980:
3975:
3970:
3965:
3960:
3954:
3951:
3950:
3941:
3940:
3933:
3926:
3918:
3909:
3908:
3906:
3905:
3895:
3884:
3881:
3880:
3878:
3877:
3872:
3870:Phoebus cartel
3867:
3862:
3857:
3855:HDMI Licensing
3852:
3846:
3844:
3843:Related topics
3840:
3839:
3837:
3836:
3831:
3826:
3821:
3815:
3813:
3809:
3808:
3806:
3805:
3800:
3798:Gerard Philips
3795:
3790:
3785:
3779:
3777:
3773:
3772:
3769:
3768:
3766:
3765:
3760:
3757:
3752:
3747:
3742:
3737:
3732:
3727:
3722:
3717:
3712:
3707:
3702:
3697:
3691:
3689:
3685:
3684:
3682:
3681:
3676:
3671:
3666:
3661:
3656:
3651:
3646:
3644:Philips GoGear
3641:
3636:
3631:
3626:
3620:
3618:
3611:
3602:
3601:
3598:
3597:
3595:
3594:
3589:
3584:
3579:
3574:
3569:
3564:
3559:
3554:
3552:LG.Philips LCD
3549:
3544:
3539:
3534:
3528:
3526:
3520:
3519:
3517:
3516:
3510:
3501:
3499:
3492:
3486:
3485:
3482:
3481:
3479:
3478:
3477:
3476:
3471:
3466:
3461:
3456:
3451:
3441:
3436:
3435:
3434:
3424:
3419:
3414:
3408:
3406:
3400:
3399:
3397:
3396:
3393:
3392:
3391:
3386:
3381:
3373:
3372:
3371:
3360:
3358:
3351:
3345:
3344:
3337:
3336:
3329:
3322:
3314:
3296:
3295:
3293:
3292:
3287:
3282:
3277:
3272:
3266:
3263:
3257:
3242:
3241:
3225:
3224:External links
3222:
3219:
3218:
3188:
3158:
3128:
3098:
3068:
3038:
3008:
2976:
2951:
2940:. 11 June 2021
2925:
2899:
2874:
2848:
2822:
2792:
2766:
2740:
2713:
2690:
2663:
2630:
2600:
2587:Bloomberg News
2572:
2558:Bloomberg News
2544:
2512:
2482:
2467:
2437:
2407:
2387:
2342:
2310:
2292:
2262:
2243:
2224:
2201:
2182:
2180:Press release.
2163:
2142:
2116:
2090:
2051:
2036:. 7 May 2021.
2021:
1987:
1961:
1935:
1917:
1882:
1863:
1830:
1801:
1776:
1754:
1735:
1705:
1675:
1656:
1626:
1596:
1561:
1542:
1512:
1474:
1440:
1439:
1437:
1434:
1433:
1432:
1429:
1422:
1415:
1404:
1400:
1393:
1390:
1381:
1378:
1374:training camps
1349:
1346:
1343:
1342:
1339:
1336:
1333:
1330:
1326:
1325:
1322:
1319:
1316:
1313:
1309:
1308:
1305:
1302:
1299:
1296:
1292:
1291:
1288:
1285:
1282:
1279:
1275:
1274:
1271:
1268:
1265:
1262:
1258:
1257:
1254:
1251:
1248:
1245:
1241:
1240:
1239:1,002,227,651
1237:
1234:
1231:
1228:
1224:
1223:
1222:1,002,639,691
1220:
1217:
1214:
1211:
1207:
1206:
1205:1,128,490,503
1203:
1200:
1197:
1194:
1190:
1189:
1188:1,183,010,574
1186:
1183:
1180:
1177:
1173:
1172:
1169:
1166:
1165:Date reported
1163:
1160:
1149:
1148:
1145:
1142:
1139:
1136:
1132:
1131:
1130:1,295,509,695
1128:
1125:
1122:
1119:
1115:
1114:
1113:1,328,571,338
1111:
1108:
1105:
1102:
1098:
1097:
1096:1,368,543,910
1094:
1091:
1088:
1085:
1081:
1080:
1079:1,448,235,456
1077:
1074:
1071:
1068:
1064:
1063:
1062:1,479,675,659
1060:
1057:
1054:
1051:
1047:
1046:
1045:1,837,182,511
1043:
1040:
1037:
1034:
1030:
1029:
1028:2,154,299,596
1026:
1023:
1020:
1017:
1013:
1012:
1011:3,043,309,048
1009:
1006:
1003:
1000:
996:
995:
994:5,152,552,838
992:
989:
986:
983:
979:
978:
975:
972:
971:Date reported
969:
966:
956:
953:
950:
949:
946:
943:
940:
937:
934:
931:
928:
925:
922:
919:
916:
912:
911:
908:
905:
902:
899:
896:
893:
890:
887:
884:
881:
878:
872:
871:
868:
865:
862:
859:
856:
853:
850:
847:
844:
841:
838:
832:
831:
828:
825:
822:
819:
816:
813:
810:
807:
804:
801:
798:
792:
791:
788:
785:
782:
779:
776:
773:
770:
767:
764:
761:
758:
748:
745:
685:Thierry Breton
683:In July 2021,
660:semiconductors
645:October 2012.
636:In July 2012,
615:and turned to
603:. Because the
566:
563:
490:
487:
482:
479:
464:immersion lens
436:
433:
381:
378:
332:computer chips
304:
303:
296:
292:
291:
288:
285:
282:
281:
278:€13.45 billion
270:
262:
261:
258:€39.96 billion
250:
242:
241:
238:€7.839 billion
230:
225:
222:
221:
218:€9.042 billion
210:
205:
202:
201:
187:
183:
182:
172:
168:
167:
165:
164:
150:
142:
140:
137:
134:
133:
127:
123:
122:
117:
113:
112:
107:
103:
102:
100:
99:
93:
84:
78:
68:
66:
61:
58:
57:
52:
48:
47:
41:
33:
32:
15:
9:
6:
4:
3:
2:
5412:
5401:
5398:
5396:
5393:
5391:
5388:
5386:
5383:
5381:
5378:
5376:
5373:
5371:
5368:
5366:
5363:
5361:
5358:
5356:
5353:
5351:
5348:
5346:
5343:
5341:
5338:
5336:
5333:
5331:
5328:
5326:
5323:
5321:
5318:
5316:
5313:
5311:
5308:
5306:
5303:
5302:
5300:
5285:
5282:
5280:
5277:
5275:
5272:
5270:
5267:
5265:
5262:
5260:
5257:
5255:
5252:
5250:
5247:
5245:
5242:
5240:
5237:
5235:
5232:
5230:
5227:
5225:
5222:
5220:
5217:
5215:
5214:DSM Firmenich
5212:
5210:
5207:
5205:
5204:ASR Nederland
5202:
5200:
5197:
5195:
5192:
5190:
5189:ArcelorMittal
5187:
5185:
5182:
5180:
5177:
5175:
5172:
5170:
5167:
5165:
5162:
5161:
5158:
5154:
5150:
5145:
5136:
5131:
5129:
5124:
5122:
5117:
5116:
5113:
5101:
5098:
5096:
5093:
5091:
5088:
5086:
5085:TotalEnergies
5083:
5081:
5078:
5076:
5073:
5071:
5068:
5066:
5063:
5061:
5058:
5056:
5053:
5051:
5048:
5046:
5043:
5041:
5038:
5036:
5035:Pernod Ricard
5033:
5031:
5028:
5026:
5023:
5021:
5018:
5016:
5015:Mercedes-Benz
5013:
5011:
5008:
5006:
5003:
5001:
4998:
4996:
4993:
4991:
4988:
4986:
4983:
4981:
4978:
4976:
4973:
4971:
4968:
4966:
4963:
4961:
4958:
4956:
4953:
4951:
4948:
4946:
4943:
4941:
4938:
4936:
4933:
4931:
4928:
4926:
4923:
4921:
4918:
4916:
4913:
4911:
4908:
4906:
4903:
4901:
4898:
4896:
4893:
4891:
4888:
4886:
4883:
4881:
4878:
4876:
4873:
4871:
4868:
4866:
4863:
4861:
4858:
4856:
4853:
4852:
4849:
4842:
4838:
4834:
4833:EURO STOXX 50
4829:
4820:
4815:
4813:
4808:
4806:
4801:
4800:
4797:
4781:
4778:
4776:
4773:
4772:
4770:
4766:
4760:
4757:
4755:
4752:
4750:
4747:
4745:
4742:
4740:
4737:
4736:
4734:
4730:
4724:
4721:
4719:
4716:
4714:
4711:
4710:
4708:
4706:
4702:
4696:
4693:
4691:
4688:
4686:
4683:
4681:
4678:
4676:
4673:
4672:
4670:
4668:
4664:
4658:
4655:
4653:
4650:
4648:
4645:
4643:
4640:
4638:
4635:
4633:
4630:
4628:
4625:
4623:
4620:
4618:
4615:
4614:
4612:
4610:
4606:
4600:
4597:
4595:
4592:
4590:
4587:
4585:
4582:
4580:
4577:
4575:
4572:
4570:
4567:
4565:
4562:
4560:
4557:
4555:
4552:
4550:
4547:
4545:
4542:
4540:
4537:
4535:
4532:
4530:
4527:
4526:
4524:
4522:
4518:
4514:
4508:
4505:
4504:
4501:
4497:
4489:
4484:
4482:
4477:
4475:
4470:
4469:
4466:
4454:
4451:
4449:
4446:
4444:
4441:
4439:
4436:
4434:
4431:
4429:
4426:
4424:
4421:
4419:
4416:
4414:
4411:
4409:
4406:
4404:
4401:
4399:
4396:
4394:
4391:
4389:
4386:
4384:
4381:
4379:
4376:
4374:
4371:
4369:
4366:
4364:
4361:
4359:
4356:
4354:
4351:
4349:
4346:
4344:
4341:
4339:
4336:
4334:
4331:
4329:
4326:
4324:
4321:
4319:
4316:
4314:
4311:
4309:
4306:
4304:
4301:
4299:
4296:
4294:
4291:
4289:
4286:
4284:
4281:
4279:
4276:
4274:
4271:
4269:
4266:
4264:
4263:Mercado Libre
4261:
4259:
4256:
4254:
4251:
4249:
4246:
4244:
4241:
4239:
4236:
4234:
4231:
4229:
4226:
4224:
4221:
4219:
4216:
4214:
4211:
4209:
4206:
4204:
4201:
4199:
4196:
4194:
4191:
4189:
4186:
4184:
4181:
4179:
4178:GE HealthCare
4176:
4174:
4171:
4169:
4166:
4164:
4161:
4159:
4156:
4154:
4151:
4149:
4146:
4144:
4141:
4139:
4136:
4134:
4131:
4129:
4126:
4124:
4121:
4119:
4116:
4114:
4111:
4109:
4106:
4104:
4101:
4099:
4096:
4094:
4091:
4089:
4086:
4084:
4081:
4079:
4076:
4074:
4071:
4069:
4066:
4064:
4061:
4059:
4056:
4054:
4051:
4049:
4046:
4044:
4041:
4039:
4036:
4034:
4031:
4029:
4026:
4024:
4021:
4019:
4016:
4014:
4011:
4009:
4006:
4004:
4001:
3999:
3996:
3994:
3991:
3989:
3986:
3984:
3981:
3979:
3976:
3974:
3971:
3969:
3966:
3964:
3961:
3959:
3956:
3955:
3952:
3947:
3939:
3934:
3932:
3927:
3925:
3920:
3919:
3916:
3904:
3896:
3894:
3886:
3885:
3882:
3876:
3873:
3871:
3868:
3866:
3863:
3861:
3858:
3856:
3853:
3851:
3848:
3847:
3845:
3841:
3835:
3832:
3830:
3829:Philips Arena
3827:
3825:
3822:
3820:
3817:
3816:
3814:
3810:
3804:
3803:Frits Philips
3801:
3799:
3796:
3794:
3793:Anton Philips
3791:
3789:
3786:
3784:
3781:
3780:
3778:
3774:
3764:
3761:
3758:
3756:
3753:
3751:
3748:
3746:
3743:
3741:
3738:
3736:
3733:
3731:
3728:
3726:
3723:
3721:
3718:
3716:
3713:
3711:
3708:
3706:
3703:
3701:
3698:
3696:
3693:
3692:
3690:
3686:
3680:
3677:
3675:
3672:
3670:
3667:
3665:
3662:
3660:
3657:
3655:
3652:
3650:
3647:
3645:
3642:
3640:
3637:
3635:
3632:
3630:
3627:
3625:
3622:
3621:
3619:
3615:
3612:
3610:and standards
3608:
3603:
3593:
3590:
3588:
3585:
3583:
3580:
3578:
3575:
3573:
3570:
3568:
3565:
3563:
3560:
3558:
3555:
3553:
3550:
3548:
3545:
3543:
3540:
3538:
3535:
3533:
3530:
3529:
3527:
3521:
3511:
3506:
3503:
3502:
3500:
3496:
3493:
3491:shareholdings
3487:
3475:
3472:
3470:
3467:
3465:
3462:
3460:
3457:
3455:
3452:
3450:
3447:
3446:
3445:
3442:
3440:
3437:
3433:
3430:
3429:
3428:
3425:
3423:
3420:
3418:
3415:
3413:
3410:
3409:
3407:
3401:
3394:
3390:
3387:
3385:
3382:
3380:
3379:Philips Avent
3377:
3376:
3374:
3370:
3367:
3366:
3365:
3362:
3361:
3359:
3355:
3352:
3348:Divisions and
3346:
3342:
3335:
3330:
3328:
3323:
3321:
3316:
3315:
3312:
3308:
3306:
3301:
3291:
3288:
3286:
3283:
3281:
3278:
3276:
3273:
3271:
3268:
3267:
3264:
3261:
3258:
3255:
3249:
3244:
3243:
3239:
3228:
3206:
3202:
3201:incooling.com
3198:
3192:
3176:
3172:
3168:
3162:
3146:
3142:
3138:
3132:
3116:
3112:
3108:
3102:
3086:
3082:
3078:
3072:
3056:
3052:
3048:
3042:
3026:
3022:
3018:
3012:
2996:
2992:
2991:
2986:
2980:
2965:
2961:
2955:
2939:
2935:
2929:
2913:
2909:
2903:
2888:
2884:
2878:
2862:
2858:
2852:
2836:
2832:
2826:
2810:
2807:(in German).
2806:
2802:
2796:
2781:
2777:
2770:
2755:
2751:
2744:
2728:
2724:
2717:
2701:
2694:
2678:
2674:
2667:
2651:
2647:
2646:
2641:
2634:
2618:
2614:
2610:
2604:
2589:
2588:
2583:
2576:
2560:
2559:
2554:
2548:
2532:
2528:
2527:
2522:
2516:
2500:
2496:
2492:
2486:
2478:
2471:
2455:
2451:
2447:
2441:
2425:
2421:
2417:
2411:
2404:
2400:
2397:
2391:
2383:
2377:
2361:
2357:
2353:
2346:
2339:
2327:
2320:
2314:
2307:
2303:
2300:
2296:
2280:
2276:
2272:
2266:
2259:
2255:
2252:
2247:
2240:
2236:
2233:
2228:
2222:, April 2011.
2221:
2217:
2213:
2210:
2205:
2198:
2194:
2191:
2186:
2179:
2175:
2172:
2167:
2159:
2152:
2146:
2130:
2126:
2120:
2104:
2100:
2094:
2078:
2074:
2070:
2066:
2062:
2055:
2039:
2035:
2031:
2025:
2009:
2005:
2001:
1994:
1992:
1975:
1971:
1965:
1949:
1945:
1939:
1931:
1924:
1922:
1905:
1901:
1897:
1891:
1889:
1887:
1879:
1875:
1872:
1867:
1851:
1847:
1846:
1841:
1834:
1819:
1818:Sherwood News
1815:
1808:
1806:
1790:
1786:
1780:
1773:
1769:
1766:
1761:
1759:
1751:
1747:
1744:
1739:
1723:
1719:
1715:
1709:
1693:
1689:
1685:
1679:
1672:
1668:
1665:
1660:
1644:
1640:
1636:
1630:
1614:
1610:
1606:
1600:
1584:
1580:
1576:
1570:
1568:
1566:
1557:
1553:
1546:
1530:
1526:
1522:
1516:
1500:
1496:
1492:
1485:
1483:
1481:
1479:
1470:
1466:
1460:
1458:
1456:
1454:
1452:
1450:
1448:
1446:
1441:
1430:
1427:
1423:
1420:
1416:
1413:
1409:
1405:
1401:
1398:
1394:
1391:
1388:
1384:
1383:
1377:
1375:
1371:
1367:
1363:
1359:
1355:
1340:
1337:
1335:30 July 2022
1334:
1331:
1328:
1327:
1323:
1320:
1317:
1314:
1311:
1310:
1306:
1303:
1300:
1297:
1294:
1293:
1289:
1286:
1283:
1280:
1277:
1276:
1272:
1269:
1267:29 June 2022
1266:
1263:
1260:
1259:
1255:
1252:
1250:29 June 2022
1249:
1246:
1243:
1242:
1238:
1235:
1232:
1229:
1226:
1225:
1221:
1218:
1215:
1212:
1209:
1208:
1204:
1201:
1198:
1195:
1192:
1191:
1187:
1184:
1181:
1178:
1175:
1174:
1170:
1167:
1164:
1161:
1158:
1157:
1146:
1143:
1141:29 June 2022
1140:
1137:
1134:
1133:
1129:
1126:
1124:29 June 2022
1123:
1120:
1117:
1116:
1112:
1109:
1107:29 June 2022
1106:
1103:
1100:
1099:
1095:
1092:
1090:29 June 2022
1089:
1086:
1083:
1082:
1078:
1075:
1072:
1069:
1066:
1065:
1061:
1058:
1056:29 June 2022
1055:
1052:
1049:
1048:
1044:
1041:
1039:29 June 2022
1038:
1035:
1032:
1031:
1027:
1024:
1022:29 June 2022
1021:
1018:
1015:
1014:
1010:
1007:
1005:29 June 2022
1004:
1001:
998:
997:
993:
990:
988:29 June 2022
987:
984:
981:
980:
976:
973:
970:
967:
964:
963:
947:
944:
941:
938:
935:
932:
929:
926:
923:
920:
917:
914:
913:
909:
906:
903:
900:
897:
894:
891:
888:
885:
882:
879:
877:
874:
873:
869:
866:
863:
860:
857:
854:
851:
848:
845:
842:
839:
837:
834:
833:
829:
826:
823:
820:
817:
814:
811:
808:
805:
802:
799:
797:
794:
793:
789:
786:
783:
780:
777:
774:
771:
768:
765:
762:
759:
756:
755:
744:
740:
738:
734:
729:
725:
723:
719:
715:
711:
707:
703:
702:annual report
697:
695:
691:
686:
681:
679:
674:
672:
668:
665:In 2018, the
663:
661:
656:
654:
649:
646:
643:
639:
634:
630:
627:
625:
620:
618:
614:
610:
606:
602:
598:
593:
591:
587:
583:
578:
576:
572:
562:
558:
556:
552:
547:
545:
539:
537:
533:
530:
526:
523:In 2009, the
521:
519:
515:
512:to produce a
511:
507:
503:
499:
495:
486:
478:
474:
469:
465:
461:
457:
454:
450:
446:
445:Burn-Jeng Lin
442:
432:
430:
426:
422:
418:
414:
410:
405:
403:
399:
395:
386:
377:
375:
371:
370:Euro Stoxx 50
367:
363:
358:
355:
351:
346:
344:
340:
335:
333:
329:
325:
322:
318:
314:
310:
301:
297:
293:
290:42,416 (2023)
289:
283:
271:
268:
263:
251:
248:
243:
231:
228:
223:
211:
208:
203:
198:27.56 billion
197:
188:
184:
180:
179:semiconductor
176:
173:
169:
162:
158:
154:
151:
148:
144:
143:
141:
135:
132:, Netherlands
131:
128:
124:
118:
114:
111:
108:
104:
97:
94:
92:
88:
85:
82:
79:
77:
73:
70:
69:
67:
64:
59:
56:
53:
49:
45:
39:
34:
30:
25:
19:
5395:Dutch brands
5198:
5050:Saint-Gobain
4889:
4754:Lam Research
4743:
4627:Arm Holdings
4506:
4358:PDD Holdings
4328:Old Dominion
4238:Lam Research
4043:Baker Hughes
4022:
3783:Cor Boonstra
3750:Philips :YES
3735:Philips Velo
3730:Philips Nino
3725:Philips CD-i
3532:ASML Holding
3531:
3350:subsidiaries
3297:
3209:. Retrieved
3200:
3191:
3179:. Retrieved
3170:
3161:
3149:. Retrieved
3140:
3131:
3119:. Retrieved
3110:
3101:
3089:. Retrieved
3080:
3071:
3059:. Retrieved
3050:
3041:
3029:. Retrieved
3020:
3011:
2999:. Retrieved
2988:
2979:
2967:. Retrieved
2963:
2954:
2942:. Retrieved
2937:
2928:
2916:. Retrieved
2911:
2902:
2890:. Retrieved
2886:
2877:
2865:. Retrieved
2851:
2839:. Retrieved
2825:
2813:. Retrieved
2804:
2795:
2783:. Retrieved
2779:
2769:
2757:. Retrieved
2753:
2743:
2731:. Retrieved
2726:
2716:
2704:. Retrieved
2693:
2681:. Retrieved
2676:
2666:
2654:. Retrieved
2650:the original
2643:
2633:
2621:. Retrieved
2612:
2603:
2591:. Retrieved
2585:
2575:
2563:. Retrieved
2556:
2547:
2535:. Retrieved
2524:
2515:
2503:. Retrieved
2494:
2485:
2476:
2470:
2458:. Retrieved
2450:www.asml.com
2449:
2440:
2428:. Retrieved
2419:
2410:
2390:
2364:. Retrieved
2355:
2345:
2337:
2330:. Retrieved
2313:
2295:
2283:. Retrieved
2274:
2265:
2246:
2227:
2219:
2204:
2185:
2166:
2157:
2145:
2133:. Retrieved
2119:
2107:. Retrieved
2093:
2081:. Retrieved
2064:
2054:
2042:. Retrieved
2033:
2024:
2012:. Retrieved
2003:
1978:. Retrieved
1964:
1952:. Retrieved
1938:
1908:. Retrieved
1899:
1866:
1854:. Retrieved
1843:
1833:
1821:. Retrieved
1817:
1792:. Retrieved
1789:www.asml.com
1788:
1779:
1738:
1726:. Retrieved
1708:
1696:. Retrieved
1687:
1678:
1659:
1647:. Retrieved
1638:
1629:
1617:. Retrieved
1608:
1599:
1587:. Retrieved
1578:
1555:
1545:
1533:. Retrieved
1524:
1515:
1503:. Retrieved
1494:
1351:
1348:Sponsorships
1341:561,551,991
1324:570,892,503
1307:592,588,122
1290:618,704,149
1273:762,773,788
1256:761,122,815
1147:910,678,821
741:
733:constitution
730:
726:
698:
682:
675:
664:
657:
650:
647:
635:
631:
628:
621:
594:
579:
568:
559:
550:
548:
540:
522:
496:
492:
484:
453:90 nanometer
438:
425:Lam Research
406:
391:
359:
347:
336:
316:
312:
308:
307:
267:Total equity
247:Total assets
126:Headquarters
51:Company type
18:
5153:Netherlands
4920:BNP Paribas
4870:Air Liquide
4448:Xcel Energy
4408:T-Mobile US
4383:Ross Stores
4233:Kraft Heinz
4148:Dollar Tree
4123:CrowdStrike
4028:AstraZeneca
3759:SpeechMagic
3384:Respironics
3285:SEC filings
3211:12 November
2964:www.sec.gov
2785:8 September
2537:16 February
2135:7 September
2109:7 September
2004:FourWeekMBA
1980:27 December
985:10,991,878
955:Shareholder
544:Boeing 747s
402:photoresist
354:Netherlands
5299:Categories
5100:Volkswagen
5080:Stellantis
4423:Trade Desk
4393:Supermicro
3946:Nasdaq-100
3763:Video 2000
3755:Philishave
3674:Trimension
3523:Former and
3412:Liquavista
3403:Former and
3181:30 October
3151:30 October
3121:30 October
3091:3 November
3061:3 November
3031:6 November
3001:6 November
2938:www.psv.nl
2912:www.psv.nl
2867:6 November
2841:6 November
2733:29 January
2729:(in Dutch)
2683:29 January
2679:(in Dutch)
2593:23 October
2565:23 October
2430:9 November
2083:9 November
1436:References
1332:1,197,952
1315:1,217,878
1298:1,264,161
1281:1,319,874
1264:1,627,216
1247:1,623,694
1230:2,138,040
1213:2,138,919
1196:2,407,395
1179:2,523,702
1138:1,942,740
1121:2,763,695
1104:2,834,225
1087:2,919,498
1070:3,089,503
1053:3,156,574
1036:3,919,239
1019:4,595,741
1002:6,492,254
915:Employees
836:Net Income
624:Intel Corp
617:Carl Zeiss
502:wavelength
475:27 million
460:nanometres
374:NASDAQ-100
227:Net income
138:Key people
96:Nasdaq-100
5184:AkzoNobel
5095:UniCredit
5060:Santander
5020:Munich Re
4990:ING Group
4975:Iberdrola
4940:DHL Group
4837:Euro Area
4732:Equipment
4718:ASE Group
4388:Starbucks
4373:Regeneron
4283:Microsoft
4273:Microchip
4248:Lululemon
4193:Honeywell
4093:Cognizant
4033:Atlassian
3669:Streamium
3624:Ambilight
3577:TP Vision
3270:Bloomberg
3021:zeiss.com
2805:boerse.de
2759:2 January
2702:. Reuters
2376:cite news
2332:27 August
2073:0362-4331
1845:The Verge
1823:21 August
1426:Wassenaar
1362:VDL Groep
1118:FMR, LLC
409:Ultratech
350:Veldhoven
130:Veldhoven
98:component
83:component
63:Traded as
44:Veldhoven
5279:Unilever
5259:Randstad
5244:NN Group
5224:Heineken
5164:ABN AMRO
4780:Synopsys
4768:Software
4652:Qualcomm
4642:MediaTek
4632:Broadcom
4589:SK Hynix
4398:Synopsys
4368:Qualcomm
4203:Illumina
4173:Fortinet
4168:Fastenal
4153:DoorDash
4058:Broadcom
4038:Autodesk
3978:Alphabet
3893:Category
3850:Carousel
3720:NMS-8250
3664:Sonicare
3607:products
3605:Brands,
3592:Lumileds
3444:PolyGram
3417:Magnavox
3205:Archived
3175:Archived
3145:Archived
3115:Archived
3111:asml.com
3085:Archived
3055:Archived
3025:Archived
2995:Archived
2861:Archived
2835:Archived
2815:26 March
2809:Archived
2706:21 March
2617:Archived
2613:BBC News
2531:Archived
2526:BBC News
2505:14 March
2499:Archived
2460:26 March
2454:Archived
2424:Archived
2399:Archived
2366:26 March
2360:Archived
2326:Archived
2302:Archived
2279:Archived
2254:Archived
2235:Archived
2212:Archived
2193:Archived
2174:Archived
2129:Archived
2103:Archived
2077:Archived
2044:30 March
2038:Archived
2014:30 March
2008:Archived
1974:Archived
1954:3 August
1948:Archived
1910:30 March
1904:Archived
1874:Archived
1856:30 March
1850:Archived
1768:Archived
1746:Archived
1722:Archived
1720:. 2019.
1692:Archived
1688:spie.org
1667:Archived
1643:Archived
1613:Archived
1609:Euronext
1583:Archived
1556:BBC News
1529:Archived
1505:30 March
1499:Archived
1469:Archived
747:Finances
613:Sematech
380:Products
300:asml.com
181:industry
171:Products
157:chairman
106:Industry
5249:Philips
5075:Siemens
5005:L'Oréal
4980:Inditex
4960:Ferrari
4880:Allianz
4667:Foundry
4609:Fabless
4534:Fujitsu
4453:Zscaler
4443:Workday
4363:PepsiCo
4348:Paychex
4308:Netflix
4298:MongoDB
4288:Moderna
4258:Marvell
4133:Datadog
4098:Comcast
4063:Cadence
3903:Commons
3819:Evoluon
3715:VG-8020
3710:VG-8010
3705:VG-8000
3688:Defunct
3659:ShoqBox
3634:Norelco
3617:Current
3557:Marantz
3542:Grundig
3525:defunct
3508:(19.9%)
3498:Current
3405:defunct
3357:Current
3341:Philips
3280:Reuters
3262:", 2022
2969:26 June
2944:26 June
2918:26 June
2892:26 June
2780:Reuters
2656:30 June
2645:AP News
2623:9 March
2495:Reuters
2420:Reuters
2356:Reuters
2275:Reuters
1728:30 June
1649:9 April
1619:9 April
1589:9 April
1535:9 April
1358:Philips
1162:Shares
1159:Holder
968:Shares
965:Holder
948:42 416
945:36 112
942:29 861
939:26 614
936:23 219
933:20 044
930:16 219
927:13 991
924:12 168
921:11 318
918:10 360
910:39 958
907:36 300
904:30 231
901:27 267
898:22 630
895:20 137
892:18 196
889:17 206
886:13 295
883:12 204
880:11 514
830:27 559
827:21 173
824:18 611
821:13 979
818:11 820
815:10 944
796:Revenue
575:Philips
565:History
551:High-NA
466:and an
319:) is a
295:Website
186:Revenue
159:of the
116:Founded
89::
74::
5254:Prosus
5055:Sanofi
5045:Safran
5040:Prosus
5030:Nordea
5000:Kering
4970:Hermès
4925:Danone
4875:Airbus
4855:Adidas
4647:Nvidia
4569:onsemi
4549:Kioxia
4494:Major
4433:Vertex
4428:Verisk
4353:PayPal
4338:Paccar
4333:onsemi
4313:Nvidia
4278:Micron
4213:Intuit
4183:Gilead
4163:Exelon
4138:Dexcom
4118:Costco
4113:CoStar
4108:Copart
4078:Cintas
4048:Biogen
3983:Amazon
3973:Airbnb
3812:Places
3776:People
3700:VG5000
3654:Senseo
3562:Navteq
3290:Yahoo!
3275:Google
2322:(XBRL)
2285:5 July
2071:
1794:4 July
1639:Nasdaq
1380:Awards
1338:0.29%
1321:0.30%
1304:0.31%
1287:0.32%
1270:0.40%
1253:0.40%
1236:0.53%
1219:0.53%
1202:0.59%
1185:0.62%
1171:Value
1168:% out
1144:0.48%
1127:0.68%
1110:0.70%
1093:0.72%
1076:0.76%
1059:0.78%
1042:0.96%
1025:1.13%
1008:1.60%
991:2.70%
977:Value
974:% out
876:Assets
870:7 839
867:5 624
864:5 883
861:3 554
858:2 592
855:2 592
852:2 119
849:1 472
846:1 387
843:1 197
840:1 016
812:9 053
809:6 795
806:6 287
803:5 856
800:5 245
722:Huawei
514:plasma
439:Since
366:NASDAQ
280:(2023)
260:(2023)
240:(2023)
220:(2023)
200:(2023)
87:Nasdaq
55:Public
5269:Shell
5174:Aegon
5169:Adyen
5090:Vinci
5025:Nokia
4910:Bayer
4860:Adyen
4622:Apple
4574:Qorvo
4544:Intel
4413:Tesla
4243:Linde
4208:Intel
4083:Cisco
4008:Apple
4003:Ansys
3993:Amgen
3958:Adobe
3948:index
3695:P2000
3514:(51%)
3369:Saeco
2677:RADAR
2154:(PDF)
1698:6 May
1419:Intel
790:2023
787:2022
784:2021
781:2020
778:2019
775:2018
772:2017
769:2016
766:2015
763:2014
760:2013
757:Year
638:Intel
605:CRADA
597:Intel
590:Nikon
586:Canon
518:Zeiss
506:laser
417:Nikon
413:Canon
398:wafer
321:Dutch
5264:RELX
5229:IMCD
5219:EXOR
5199:ASML
5010:LVMH
4945:Enel
4905:BASF
4900:BBVA
4890:ASML
4744:ASML
4723:JCET
4705:OSAT
4690:TSMC
4685:SMIC
4268:Meta
4023:ASML
3587:SSMC
3582:TSMC
3305:ASML
3213:2022
3183:2022
3153:2022
3123:2022
3093:2022
3063:2022
3033:2022
3003:2022
2990:IEEE
2971:2024
2946:2024
2920:2024
2894:2024
2887:ASML
2869:2022
2843:2022
2817:2022
2787:2024
2761:2024
2735:2024
2708:2024
2685:2024
2658:2023
2625:2023
2595:2023
2567:2023
2539:2023
2507:2023
2462:2021
2432:2022
2382:link
2368:2021
2334:2017
2287:2021
2137:2014
2111:2014
2085:2022
2069:ISSN
2046:2023
2034:ASML
2016:2023
1982:2022
1956:2010
1912:2023
1900:ASML
1858:2023
1825:2024
1796:2023
1730:2019
1718:TSMC
1700:2023
1651:2023
1621:2023
1591:2023
1579:ASML
1537:2023
1507:2023
1495:CNBC
1417:The
1408:SEMI
1397:IMEC
1387:IEEE
1385:The
1368:and
692:and
690:TSMC
611:and
609:IMEC
588:and
573:and
529:CMOS
525:IMEC
427:and
415:and
372:and
364:and
313:ASML
91:ASML
76:ASML
5239:KPN
5234:ING
5149:AEX
5065:SAP
4950:Eni
4915:BMW
4895:Axa
4749:KLA
4695:UMC
4617:AMD
4521:IDM
4318:NXP
4228:KLA
4128:CSX
4068:CDW
4018:ARM
3988:AEP
3968:AMD
3963:ADP
3629:Hue
2754:CNN
1354:PSV
571:ASM
546:.
510:tin
423:,
362:AEX
147:CEO
81:AEX
5301::
3203:.
3199:.
3169:.
3139:.
3109:.
3079:.
3049:.
3023:.
3019:.
2987:.
2962:.
2936:.
2910:.
2885:.
2859:.
2833:.
2803:.
2778:.
2752:.
2725:.
2675:.
2642:.
2611:.
2584:.
2555:.
2523:.
2493:.
2448:.
2418:.
2378:}}
2374:{{
2358:.
2354:.
2336:.
2273:.
2218:.
2156:.
2127:.
2101:.
2075:.
2067:.
2063:.
2032:.
2006:.
2002:.
1990:^
1920:^
1902:.
1898:.
1885:^
1848:.
1842:.
1816:.
1804:^
1787:.
1757:^
1716:.
1690:.
1686:.
1641:.
1637:.
1611:.
1607:.
1577:.
1564:^
1554:.
1523:.
1497:.
1493:.
1477:^
1444:^
1364:,
1360:.
724:.
662:.
626:.
477:.
431:.
419:,
411:,
376:.
352:,
334:.
5134:e
5127:t
5120:v
4818:e
4811:t
4804:v
4487:e
4480:t
4473:v
3937:e
3930:t
3923:v
3333:e
3326:t
3319:v
3215:.
3185:.
3155:.
3125:.
3095:.
3065:.
3035:.
3005:.
2973:.
2948:.
2922:.
2896:.
2871:.
2845:.
2819:.
2789:.
2763:.
2737:.
2710:.
2687:.
2660:.
2627:.
2597:.
2569:.
2541:.
2509:.
2479:.
2464:.
2434:.
2384:)
2370:.
2289:.
2160:.
2139:.
2113:.
2087:.
2048:.
2018:.
1984:.
1958:.
1932:.
1914:.
1860:.
1827:.
1798:.
1732:.
1702:.
1653:.
1623:.
1593:.
1558:.
1539:.
1509:.
1428:.
473:€
196:€
163:)
155:(
149:)
Text is available under the Creative Commons Attribution-ShareAlike License. Additional terms may apply.