Knowledge

Category:Electronic design automation

Source 📝

20: 347: 432: 179: 594: 387: 166: 229: 717: 367: 869: 958: 854: 266: 212: 745: 253: 973: 411: 621: 983: 916: 1057: 626: 542: 330: 963: 928: 488: 953: 844: 827: 589: 1093: 631: 579: 547: 444: 286: 38: 139: 136: 133: 130: 127: 124: 121: 118: 115: 112: 109: 106: 103: 100: 97: 94: 91: 88: 85: 82: 79: 76: 73: 70: 67: 64: 59: 793: 1088: 1040: 306: 1052: 879: 722: 456: 199: 894: 889: 884: 663: 604: 906: 766: 695: 658: 505: 864: 778: 685: 648: 344: 788: 690: 680: 527: 483: 8: 1008: 988: 653: 562: 557: 471: 226: 1003: 751: 552: 510: 461: 25: 1069: 943: 810: 537: 1030: 978: 968: 948: 756: 707: 584: 45: 1035: 849: 761: 371: 290: 257: 250: 233: 216: 203: 170: 33: 911: 408: 391: 310: 270: 183: 1025: 1013: 998: 616: 532: 466: 415: 334: 54: 351: 1082: 993: 739: 874: 815: 734: 599: 574: 515: 327: 783: 712: 859: 500: 938: 675: 154:
This category has the following 14 subcategories, out of 14 total.
19: 805: 431:
The following 99 pages are in this category, out of 99 total.
933: 839: 636: 15: 1080: 428:Pages in category "Electronic design automation" 595:Electronic system-level design and verification 47: 718:Input/output Buffer Information Specification 870:Potential applications of carbon nanotubes 348:Electronic design automation organizations 959:Semiconductor intellectual property core 855:Placement (electronic design automation) 433:This list may not reflect recent changes 180:Electronic design automation conferences 746:List of electrical engineering software 1081: 974:Signoff (electronic design automation) 388:Satisfiability modulo theories solvers 167:Electronic design automation companies 230:Electronic design automation software 622:Feedback-controlled electromigration 149: 984:Silvaco Data Systems v. Intel Corp. 917:Resolution enhancement technologies 368:Electronic design automation people 13: 1058:Universal Verification Methodology 437: 156: 148: 14: 1105: 543:Design for additive manufacturing 964:Semiconductor process simulation 18: 267:Hardware verification languages 213:Electronic circuit verification 929:Satisfiability modulo theories 489:Boolean satisfiability problem 254:Hardware description languages 1: 954:Semiconductor device modeling 845:Physical design (electronics) 828:Open Verification Methodology 627:Fiduccia–Mattheyses algorithm 590:Electronic circuit simulation 412:Timing in electronic circuits 632:Floorplan (microelectronics) 580:Electromagnetic field solver 548:Design for manufacturability 445:Electronic design automation 426: 39:Electronic design automation 7: 794:Multi-project wafer service 10: 1110: 1041:Transaction-level modeling 32:The main article for this 31: 1053:Ultra-large-scale systems 880:Power network design (IC) 723:Integrated circuit layout 457:Algorithmic state machine 895:Programmable logic array 890:Programmable Array Logic 885:Power optimization (EDA) 664:Graphics processing unit 605:Engineering change order 331:Electronics optimization 907:Register-transfer level 767:Low-power FSM synthesis 696:High-level verification 659:Graphical system design 506:Central processing unit 865:Platform-based design 779:Mask data preparation 686:Hardware watermarking 484:Black's equation 789:Multi-channel length 691:High-level synthesis 681:Hardware obfuscation 528:Data processing unit 1094:Digital electronics 1009:Symbolic simulation 989:Simulation software 654:Generic Array Logic 563:Dolphin Integration 558:Digital electronics 472:Asynchronous system 287:IEEE DASC standards 1004:Substrate coupling 752:Logic optimization 553:Design for testing 511:Circuit extraction 462:And-inverter graph 26:Electronics portal 1089:Electronic design 1070:VISC architecture 944:Schematic capture 811:Network on a chip 649:Gajski–Kuhn chart 538:Design flow (EDA) 307:Network on a chip 1101: 1031:Test compression 979:Silicon compiler 969:Signal integrity 949:Schematic editor 912:Rent's rule 757:Logic simulation 748: 708:IC layout editor 585:Electromigration 417: 410: 393: 373: 353: 346: 336: 329: 312: 292: 272: 259: 252: 235: 228: 218: 205: 200:EDA file formats 185: 172: 28: 23: 22: 1109: 1108: 1104: 1103: 1102: 1100: 1099: 1098: 1079: 1078: 1077: 1076: 1075: 1074: 1062: 1045: 1036:Touchstone file 1018: 921: 899: 850:Place and route 832: 820: 798: 771: 762:Logic synthesis 744: 727: 700: 668: 641: 609: 567: 520: 493: 476: 449: 425: 424: 423: 422: 419: 418: 407: 398: 395: 394: 378: 375: 374: 358: 355: 354: 343: 338: 337: 326: 317: 314: 313: 297: 294: 293: 277: 274: 273: 261: 260: 249: 240: 237: 236: 225: 220: 219: 207: 206: 190: 187: 186: 174: 173: 147: 146: 145: 144: 50: 43: 24: 17: 12: 11: 5: 1107: 1097: 1096: 1091: 1073: 1072: 1066: 1063: 1061: 1060: 1055: 1049: 1046: 1044: 1043: 1038: 1033: 1028: 1026:Technology CAD 1022: 1019: 1017: 1016: 1014:Systems design 1011: 1006: 1001: 999:Stuck-at fault 996: 991: 986: 981: 976: 971: 966: 961: 956: 951: 946: 941: 936: 931: 925: 922: 920: 919: 914: 909: 903: 900: 898: 897: 892: 887: 882: 877: 872: 867: 862: 857: 852: 847: 842: 836: 833: 831: 830: 824: 821: 819: 818: 813: 808: 802: 799: 797: 796: 791: 786: 781: 775: 772: 770: 769: 764: 759: 754: 749: 742: 737: 731: 728: 726: 725: 720: 715: 710: 704: 701: 699: 698: 693: 688: 683: 678: 672: 669: 667: 666: 661: 656: 651: 645: 642: 640: 639: 634: 629: 624: 619: 617:Fault coverage 613: 610: 608: 607: 602: 597: 592: 587: 582: 577: 571: 568: 566: 565: 560: 555: 550: 545: 540: 535: 533:Design closure 530: 524: 521: 519: 518: 513: 508: 503: 497: 494: 492: 491: 486: 480: 477: 475: 474: 469: 467:Antenna effect 464: 459: 453: 450: 448: 447: 441: 439: 438: 429: 421: 420: 406: 405: 402: 399: 397: 396: 386: 385: 382: 379: 377: 376: 366: 365: 362: 359: 357: 356: 342: 341: 339: 325: 324: 321: 318: 316: 315: 305: 304: 301: 298: 296: 295: 285: 284: 281: 278: 276: 275: 265: 264: 262: 248: 247: 244: 241: 239: 238: 224: 223: 221: 211: 210: 208: 198: 197: 194: 191: 189: 188: 178: 177: 175: 165: 164: 161: 158: 157: 152: 143: 142: 62: 57: 51: 49: 46: 44: 30: 29: 9: 6: 4: 3: 2: 1106: 1095: 1092: 1090: 1087: 1086: 1084: 1071: 1068: 1067: 1064: 1059: 1056: 1054: 1051: 1050: 1047: 1042: 1039: 1037: 1034: 1032: 1029: 1027: 1024: 1023: 1020: 1015: 1012: 1010: 1007: 1005: 1002: 1000: 997: 995: 994:Standard cell 992: 990: 987: 985: 982: 980: 977: 975: 972: 970: 967: 965: 962: 960: 957: 955: 952: 950: 947: 945: 942: 940: 937: 935: 932: 930: 927: 926: 923: 918: 915: 913: 910: 908: 905: 904: 901: 896: 893: 891: 888: 886: 883: 881: 878: 876: 873: 871: 868: 866: 863: 861: 858: 856: 853: 851: 848: 846: 843: 841: 838: 837: 834: 829: 826: 825: 822: 817: 814: 812: 809: 807: 804: 803: 800: 795: 792: 790: 787: 785: 782: 780: 777: 776: 773: 768: 765: 763: 760: 758: 755: 753: 750: 747: 743: 741: 740:Lee algorithm 738: 736: 733: 732: 729: 724: 721: 719: 716: 714: 711: 709: 706: 705: 702: 697: 694: 692: 689: 687: 684: 682: 679: 677: 674: 673: 670: 665: 662: 660: 657: 655: 652: 650: 647: 646: 643: 638: 635: 633: 630: 628: 625: 623: 620: 618: 615: 614: 611: 606: 603: 601: 598: 596: 593: 591: 588: 586: 583: 581: 578: 576: 573: 572: 569: 564: 561: 559: 556: 554: 551: 549: 546: 544: 541: 539: 536: 534: 531: 529: 526: 525: 522: 517: 514: 512: 509: 507: 504: 502: 499: 498: 495: 490: 487: 485: 482: 481: 478: 473: 470: 468: 465: 463: 460: 458: 455: 454: 451: 446: 443: 442: 440: 436: 434: 427: 413: 409: 404: 403: 400: 389: 384: 383: 380: 369: 364: 363: 360: 349: 345: 340: 332: 328: 323: 322: 319: 308: 303: 302: 299: 288: 283: 282: 279: 268: 263: 255: 251: 246: 245: 242: 231: 227: 222: 214: 209: 201: 196: 195: 192: 181: 176: 168: 163: 162: 159: 155: 151:Subcategories 150: 141: 138: 135: 132: 129: 126: 123: 120: 117: 114: 111: 108: 105: 102: 99: 96: 93: 90: 87: 84: 81: 78: 75: 72: 69: 66: 63: 61: 58: 56: 53: 52: 41: 40: 35: 27: 21: 16: 875:Power gating 816:Noise margin 735:Ladder logic 600:Elmore delay 575:EDA database 516:Clock gating 430: 153: 37: 784:Maze runner 713:IEC 61131-3 416:(1 C, 13 P) 335:(1 C, 20 P) 258:(2 C, 40 P) 234:(4 C, 56 P) 1083:Categories 860:Planar SAT 501:Cell (EDA) 352:(1 C, 2 P) 939:Schematic 48:Contents 676:Handel-C 34:category 806:Netlist 372:(38 P) 291:(10 P) 217:(19 P) 204:(14 P) 171:(50 P) 934:SCALD 840:PBIST 637:FpgaC 392:(3 P) 311:(4 P) 271:(9 P) 184:(6 P) 60:0–9 55:Top 36:is 1085:: 435:. 414:‎ 390:‎ 370:‎ 350:‎ 333:‎ 309:‎ 289:‎ 269:‎ 256:‎ 232:‎ 215:‎ 202:‎ 182:‎ 169:‎ 1065:V 1048:U 1021:T 924:S 902:R 835:P 823:O 801:N 774:M 730:L 703:I 671:H 644:G 612:F 570:E 523:D 496:C 479:B 452:A 401:T 381:S 361:P 320:O 300:N 280:I 243:H 193:E 160:C 140:Z 137:Y 134:X 131:W 128:V 125:U 122:T 119:S 116:R 113:Q 110:P 107:O 104:N 101:M 98:L 95:K 92:J 89:I 86:H 83:G 80:F 77:E 74:D 71:C 68:B 65:A 42:.

Index

icon
Electronics portal
category
Electronic design automation
Top
0–9
A
B
C
D
E
F
G
H
I
J
K
L
M
N
O
P
Q
R
S
T
U
V
W
X

Text is available under the Creative Commons Attribution-ShareAlike License. Additional terms may apply.