Knowledge

Programmable logic array

Source 📝

38: 215:
twice, once for each possible value of B, and as more "don't care" inputs are added, the duplication grows exponentially); therefore, a programmable logic array can often implement a piece of logic using fewer transistors than the equivalent in read-only memory. This is particularly valuable when it is part of a processing chip where transistors are scarce (for example, the original
214:
possible combination of inputs, including combinations that are never supposed to occur, and also duplicating data for "don't care" conditions (for example, logic like "if input A is 1, then, as far as output X is concerned, we don't care what input B is": in a ROM this would have to be written out
72:
planes, which can then be conditionally complemented to produce an output. It has 2 AND gates for N input variables, and for M outputs from the PLA, there should be M OR gates, each with programmable inputs from all of the AND gates. This layout allows for many logic functions to be synthesized in
242:
home computers released in 1982 (into early 1983) initially used a programmed Signetics 82S100 PLA, but as the demand increased, MOS Technology / Commodore Semiconductor Group began producing a mask-programmed PLA, which bore part number 906114-01.
343: 116:
or ROAM. This device, the TMS2000, was programmed by altering the metal layer during the production of the IC. The TMS2000 had up to 17 inputs and 18 outputs with 8
231:. It defines various states in an instruction set, and produces the next state (by conditional branching). . Programmable logic arrays should correspond to a 210:, with the inputs being driven by the address bus and the outputs being read out as data. However, that would require a separate memory location for 949: 391: 323: 1181: 1219: 355: 17: 1121: 414: 1224: 1384: 1056: 592: 562: 1169: 1068: 1103: 942: 436: 384: 1115: 1051: 577: 92:) in that both the AND and OR gate planes are programmable. PAL has programmable AND gates but fixed OR gates 1241: 1109: 622: 503: 424: 252: 935: 441: 1358: 1253: 617: 377: 597: 1273: 1231: 113: 37: 1174: 1159: 1085: 1045: 400: 335: 54: 1186: 1091: 859: 483: 466: 451: 307: 262: 85: 81: 31: 1236: 1014: 1009: 836: 1330: 1127: 777: 663: 331: 1342: 1296: 1164: 1062: 999: 787: 678: 668: 493: 351: 74: 1389: 1268: 1263: 1246: 966: 851: 798: 683: 582: 488: 8: 1315: 1258: 1097: 1019: 994: 958: 896: 752: 478: 456: 216: 89: 58: 1335: 1320: 1201: 1039: 1004: 772: 688: 143:
Decide the input connection of the AND matrix for generating the required product term.
61: 1291: 703: 550: 101: 1024: 572: 419: 207: 1214: 1209: 1191: 1154: 1149: 1074: 1034: 806: 134: 1144: 908: 140:
Obtain the minimum SOP form to reduce the number of product terms to a minimum.
1378: 1325: 1308: 1303: 879: 864: 736: 658: 545: 232: 146:
Then decide the input connections of the OR matrix to generate the sum terms.
117: 821: 811: 461: 239: 607: 521: 429: 1363: 1029: 974: 826: 757: 726: 538: 257: 927: 989: 891: 886: 869: 831: 638: 516: 369: 284:
A Texas Instruments Application Report: MOS programmable logic arrays
219:
chip contained a PLA to direct various operations of the processor).
105: 984: 979: 874: 767: 698: 643: 602: 567: 533: 228: 65: 841: 731: 693: 557: 511: 296: 69: 903: 762: 587: 471: 673: 653: 612: 227:
One application of a PLA is to implement the control over a
816: 528: 648: 109: 308:
arcadecomponents.com - Commodore 906114-01 64 PLA IC
202:The desired outputs for each combination of inputs 197: 1376: 149:Decide the connections of the inversion matrix. 943: 385: 68:planes, which link to a set of programmable 127: 950: 936: 392: 378: 275: 297:How MOS 6502 Illegal Opcodes really work 36: 1122:Application-specific integrated circuit 957: 14: 1377: 399: 281: 931: 373: 286:. Texas Instruments. Bulletin CA-158. 1057:Three-dimensional integrated circuit 64:. The PLA has a set of programmable 24: 1069:Erasable programmable logic device 25: 1401: 1104:Complex programmable logic device 316: 27:Type of programmable logic device 344:"PLA (programmable logic array)" 324:"Programmable Logic Array (PLA)" 198:Advantages over read-only memory 1116:Field-programmable object array 1052:Mixed-signal integrated circuit 222: 120:for memory. TI coined the term 301: 290: 108:-programmable IC based on the 13: 1: 1242:Hardware description language 1110:Field-programmable gate array 282:Andres, Kent (October 1970). 268: 253:Field-programmable gate array 1385:Electronic design automation 7: 1254:Formal equivalence checking 246: 10: 1406: 1274:Hierarchical state machine 1232:Transaction-level modeling 95: 29: 1351: 1284: 1200: 1175:Digital signal processing 1160:Logic in computer science 1137: 1086:Programmable logic device 1046:Hybrid integrated circuit 965: 850: 797: 786: 745: 719: 712: 631: 502: 407: 188:INVERT/ NON INVERT MATRIX 55:programmable logic device 1187:Switching circuit theory 1092:Programmable Array Logic 1080:Programmable logic array 484:Circuit underutilization 467:Reconfigurable computing 263:Programmable Array Logic 191:FLIP-FLOP OUTPUT BUFFER 128:Implementation procedure 122:Programmable Logic Array 82:programmable array logic 47:programmable logic array 32:Programmable Array Logic 30:Not to be confused with 18:Programmable Logic Array 1237:Register-transfer level 1128:Tensor Processing Unit 334:. 2003. Archived from 332:University of Maryland 42: 1343:Electronic literature 1297:Hardware acceleration 1165:Computer architecture 1063:Emitter-coupled logic 1000:Printed circuit board 494:Hardware acceleration 352:University of Hamburg 206:be programmed into a 41:PLA schematic example 40: 1269:Finite-state machine 1247:High-level synthesis 1182:Circuit minimization 684:Microchip Technology 489:High-level synthesis 133:Preparation in SOP ( 73:the sum of products 1316:Digital photography 1098:Generic Array Logic 1020:Combinational logic 995:Printed electronics 959:Digital electronics 753:Intel Quartus Prime 479:Soft microprocessor 156:PLA block diagram: 59:combinational logic 1264:Asynchronous logic 1040:Integrated circuit 1005:Electronic circuit 401:Programmable logic 114:associative memory 57:used to implement 43: 1372: 1371: 1321:Digital telephone 1292:Computer hardware 1259:Synchronous logic 925: 924: 921: 920: 917: 916: 704:Texas Instruments 195: 194: 124:for this device. 102:Texas Instruments 80:PLAs differ from 16:(Redirected from 1397: 1025:Sequential logic 952: 945: 938: 929: 928: 795: 794: 717: 716: 394: 387: 380: 371: 370: 366: 364: 363: 354:. Archived from 339: 310: 305: 299: 294: 288: 287: 279: 235:for the system. 208:read-only memory 159: 158: 152:Program the PLA. 21: 1405: 1404: 1400: 1399: 1398: 1396: 1395: 1394: 1375: 1374: 1373: 1368: 1347: 1280: 1215:Place and route 1210:Logic synthesis 1196: 1192:Gate equivalent 1155:Logic synthesis 1150:Boolean algebra 1133: 1075:Macrocell array 1035:Boolean circuit 961: 956: 926: 913: 846: 789: 782: 741: 708: 627: 498: 403: 398: 361: 359: 342: 322: 319: 314: 313: 306: 302: 295: 291: 280: 276: 271: 249: 225: 200: 135:sum of products 130: 98: 75:canonical forms 53:) is a kind of 35: 28: 23: 22: 15: 12: 11: 5: 1403: 1393: 1392: 1387: 1370: 1369: 1367: 1366: 1361: 1355: 1353: 1349: 1348: 1346: 1345: 1340: 1339: 1338: 1333: 1331:cinematography 1323: 1318: 1313: 1312: 1311: 1301: 1300: 1299: 1288: 1286: 1282: 1281: 1279: 1278: 1277: 1276: 1266: 1261: 1256: 1251: 1250: 1249: 1244: 1234: 1229: 1228: 1227: 1222: 1212: 1206: 1204: 1198: 1197: 1195: 1194: 1189: 1184: 1179: 1178: 1177: 1170:Digital signal 1167: 1162: 1157: 1152: 1147: 1145:Digital signal 1141: 1139: 1135: 1134: 1132: 1131: 1125: 1119: 1113: 1107: 1101: 1095: 1089: 1083: 1077: 1072: 1066: 1060: 1054: 1049: 1043: 1037: 1032: 1027: 1022: 1017: 1012: 1007: 1002: 997: 992: 987: 982: 977: 971: 969: 963: 962: 955: 954: 947: 940: 932: 923: 922: 919: 918: 915: 914: 912: 911: 906: 901: 900: 899: 894: 884: 883: 882: 872: 867: 862: 856: 854: 848: 847: 845: 844: 839: 834: 829: 824: 819: 814: 809: 803: 801: 792: 784: 783: 781: 780: 775: 770: 765: 760: 755: 749: 747: 743: 742: 740: 739: 734: 729: 723: 721: 714: 710: 709: 707: 706: 701: 696: 691: 686: 681: 676: 671: 666: 661: 656: 651: 646: 641: 635: 633: 629: 628: 626: 625: 620: 615: 610: 605: 600: 595: 590: 585: 580: 575: 570: 565: 560: 555: 554: 553: 543: 542: 541: 536: 526: 525: 524: 519: 508: 506: 500: 499: 497: 496: 491: 486: 481: 476: 475: 474: 464: 459: 454: 449: 444: 439: 434: 433: 432: 422: 417: 411: 409: 405: 404: 397: 396: 389: 382: 374: 368: 367: 340: 338:on 2017-12-14. 318: 317:External links 315: 312: 311: 300: 289: 273: 272: 270: 267: 266: 265: 260: 255: 248: 245: 224: 221: 199: 196: 193: 192: 189: 186: 183: 180: 176: 175: 172: 169: 166: 163: 154: 153: 150: 147: 144: 141: 138: 129: 126: 97: 94: 26: 9: 6: 4: 3: 2: 1402: 1391: 1388: 1386: 1383: 1382: 1380: 1365: 1362: 1360: 1359:Metastability 1357: 1356: 1354: 1352:Design issues 1350: 1344: 1341: 1337: 1334: 1332: 1329: 1328: 1327: 1326:Digital video 1324: 1322: 1319: 1317: 1314: 1310: 1307: 1306: 1305: 1304:Digital audio 1302: 1298: 1295: 1294: 1293: 1290: 1289: 1287: 1283: 1275: 1272: 1271: 1270: 1267: 1265: 1262: 1260: 1257: 1255: 1252: 1248: 1245: 1243: 1240: 1239: 1238: 1235: 1233: 1230: 1226: 1223: 1221: 1218: 1217: 1216: 1213: 1211: 1208: 1207: 1205: 1203: 1199: 1193: 1190: 1188: 1185: 1183: 1180: 1176: 1173: 1172: 1171: 1168: 1166: 1163: 1161: 1158: 1156: 1153: 1151: 1148: 1146: 1143: 1142: 1140: 1136: 1129: 1126: 1123: 1120: 1117: 1114: 1111: 1108: 1105: 1102: 1099: 1096: 1093: 1090: 1087: 1084: 1081: 1078: 1076: 1073: 1070: 1067: 1064: 1061: 1058: 1055: 1053: 1050: 1047: 1044: 1041: 1038: 1036: 1033: 1031: 1028: 1026: 1023: 1021: 1018: 1016: 1013: 1011: 1008: 1006: 1003: 1001: 998: 996: 993: 991: 988: 986: 983: 981: 978: 976: 973: 972: 970: 968: 964: 960: 953: 948: 946: 941: 939: 934: 933: 930: 910: 907: 905: 902: 898: 895: 893: 890: 889: 888: 885: 881: 878: 877: 876: 873: 871: 868: 866: 865:LatticeMico32 863: 861: 858: 857: 855: 853: 849: 843: 840: 838: 835: 833: 830: 828: 825: 823: 820: 818: 815: 813: 810: 808: 805: 804: 802: 800: 796: 793: 791: 785: 779: 776: 774: 771: 769: 766: 764: 761: 759: 756: 754: 751: 750: 748: 744: 738: 735: 733: 730: 728: 725: 724: 722: 718: 715: 711: 705: 702: 700: 697: 695: 692: 690: 687: 685: 682: 680: 677: 675: 672: 670: 667: 665: 662: 660: 657: 655: 652: 650: 647: 645: 642: 640: 637: 636: 634: 630: 624: 621: 619: 616: 614: 611: 609: 606: 604: 601: 599: 596: 594: 591: 589: 586: 584: 581: 579: 576: 574: 571: 569: 566: 564: 561: 559: 556: 552: 549: 548: 547: 546:SystemVerilog 544: 540: 537: 535: 532: 531: 530: 527: 523: 520: 518: 515: 514: 513: 510: 509: 507: 505: 501: 495: 492: 490: 487: 485: 482: 480: 477: 473: 470: 469: 468: 465: 463: 460: 458: 455: 453: 450: 448: 445: 443: 440: 438: 435: 431: 428: 427: 426: 423: 421: 418: 416: 413: 412: 410: 406: 402: 395: 390: 388: 383: 381: 376: 375: 372: 358:on 2013-01-15 357: 353: 349: 345: 341: 337: 333: 329: 325: 321: 320: 309: 304: 298: 293: 285: 278: 274: 264: 261: 259: 256: 254: 251: 250: 244: 241: 238:The earliest 236: 234: 233:state diagram 230: 220: 218: 213: 209: 205: 190: 187: 184: 181: 178: 177: 173: 170: 167: 164: 161: 160: 157: 151: 148: 145: 142: 139: 136: 132: 131: 125: 123: 119: 118:JK flip-flops 115: 111: 107: 103: 93: 91: 87: 83: 78: 76: 71: 67: 63: 60: 56: 52: 48: 39: 33: 19: 1285:Applications 1079: 822:LatticeMico8 812:ARM Cortex-M 788:Intellectual 446: 360:. Retrieved 356:the original 347: 336:the original 327: 303: 292: 283: 277: 240:Commodore 64 237: 226: 223:Applications 211: 203: 201: 179:INPUT BUFFER 155: 121: 104:developed a 99: 79: 50: 46: 44: 1390:Gate arrays 1015:Memory cell 852:Open-source 799:Proprietary 608:Flow to HDL 430:Logic block 348:Java Applet 1379:Categories 1364:Runt pulse 1336:television 1030:Logic gate 975:Transistor 967:Components 827:MicroBlaze 778:Simulators 758:Xilinx ISE 362:2010-04-07 269:References 258:Gate array 182:AND MATRIX 174:5TH BLOCK 112:read-only 1220:Placement 1010:Flip-flop 990:Capacitor 897:Microwatt 892:Libre-SOC 887:Power ISA 870:OpenCores 832:PicoBlaze 639:Accellera 632:Companies 504:Languages 185:OR MATRIX 171:4TH BLOCK 168:3RD BLOCK 165:2ND BLOCK 162:1ST BLOCK 100:In 1970, 84:devices ( 985:Inductor 980:Resistor 875:OpenRISC 790:property 768:ModelSim 746:Software 720:Hardware 713:Products 699:Synopsys 669:Infineon 644:Achronix 603:C to HDL 568:Handel-C 408:Concepts 247:See also 229:datapath 66:AND gate 62:circuits 1225:Routing 1059:(3D IC) 842:Nios II 732:Stratix 694:Siemens 679:Lattice 664:Cadence 558:SystemC 512:Verilog 328:cmsc311 137:) form. 96:History 70:OR gate 1202:Design 1138:Theory 1124:(ASIC) 1118:(FPOA) 1112:(FPGA) 1106:(CPLD) 1071:(EPLD) 904:RISC-V 763:Vivado 737:Virtex 623:Chisel 588:PALASM 472:Xputer 1309:radio 1130:(TPU) 1100:(GAL) 1094:(PAL) 1088:(PLD) 1082:(PLA) 1065:(ECL) 1048:(HIC) 674:Intel 654:Aldec 613:MyHDL 539:VITAL 212:every 204:could 1042:(IC) 880:1200 837:Nios 817:LEON 618:ELLA 598:CUPL 593:ABEL 573:Lola 563:AHDL 529:VHDL 462:PSoC 442:EPLD 437:CPLD 425:FPGA 415:ASIC 217:6502 106:mask 90:GALs 88:and 86:PALs 909:Zet 860:JOP 807:ARC 773:VTR 727:iCE 689:NXP 659:Arm 649:AMD 583:UPF 578:PSL 551:DPI 534:AMS 522:AMS 457:GAL 452:PAL 447:PLA 420:SoC 110:IBM 51:PLA 1381:: 350:. 346:. 330:. 326:. 77:. 45:A 951:e 944:t 937:v 517:A 393:e 386:t 379:v 365:. 49:( 34:. 20:)

Index

Programmable Logic Array
Programmable Array Logic

programmable logic device
combinational logic
circuits
AND gate
OR gate
canonical forms
programmable array logic
PALs
GALs
Texas Instruments
mask
IBM
associative memory
JK flip-flops
sum of products
read-only memory
6502
datapath
state diagram
Commodore 64
Field-programmable gate array
Gate array
Programmable Array Logic
How MOS 6502 Illegal Opcodes really work
arcadecomponents.com - Commodore 906114-01 64 PLA IC
"Programmable Logic Array (PLA)"
University of Maryland

Text is available under the Creative Commons Attribution-ShareAlike License. Additional terms may apply.