Knowledge

Three-dimensional integrated circuit

Source 📝

520:. At the 45 nm technology node, the area footprint of a 10μm x 10μm TSV is comparable to that of about 50 gates. Furthermore, manufacturability demands landing pads and keep-out zones which further increase TSV area footprint. Depending on the technology choices, TSVs block some subset of layout resources. Via-first TSVs are manufactured before metallization, thus occupy the device layer and result in placement obstacles. Via-last TSVs are manufactured after metallization and pass through the chip. Thus, they occupy both the device and metal layers, resulting in placement and routing obstacles. While the usage of TSVs is generally expected to reduce wirelength, this depends on the number of TSVs and their characteristics. Also, the granularity of inter-die partitioning impacts wirelength. It typically decreases for moderate (blocks with 20-100 modules) and coarse (block-level partitioning) granularities, but increases for fine (gate-level partitioning) granularities. 614:
several memory types, analog and RF circuits, etc. Block-level integration, which allows separate and optimized manufacturing processes, thus appears crucial for 3D integration. Furthermore, this style might facilitate the transition from current 2D design towards 3D IC design. Basically, 3D-aware tools are only needed for partitioning and thermal analysis. Separate dies will be designed using (adapted) 2D tools and 2D blocks. This is motivated by the broad availability of reliable IP blocks. It is more convenient to use available 2D IP blocks and to place the mandatory TSVs in the unoccupied space between blocks instead of redesigning IP blocks and embedding TSVs.
1170: 152: 4930: 485:
process is surprisingly straightforward when broken down into the activities that build up the entire process. By analyzing the combination of activities that lay at the base, cost drivers can be identified. Once the cost drivers are identified, it becomes a less complicated endeavor to determine where the majority of cost comes from and, more importantly, where cost has the potential to be reduced.
968: 869:
Landesberger and Armin Klumpp. It was a first industrial 3D IC process, based on Siemens CMOS fab wafers. A variation of that TSV process was later called TSV-SLID (solid liquid inter-diffusion) technology. It was an approach to 3D IC design based on low temperature wafer bonding and vertical integration of IC devices using inter-chip vias, which they patented.
715:(PSG) film was used as an intermediate insulating layer between the top and bottom devices. This provided the basis for realizing a multi-layered 3D device composed of vertically stacked transistors, with separate gates and an insulating layer in between. In December 1983, the same Fujitsu research team fabricated a 3D integrated circuit with a 39:(TSVs) or Cu-Cu connections, so that they behave as a single device to achieve performance improvements at reduced power and smaller footprint than conventional two dimensional processes. The 3D IC is one of several 3D integration schemes that exploit the z-direction to achieve electrical performance benefits in 877:
platform, and e-BRAINS with a.o., Infineon, Siemens, EPFL, IMEC and Tyndall, where heterogeneous 3D integrated system demonstrators were fabricated and evaluated. A particular focus of the e-BRAINS project was the development of novel low-temperature processes for highly reliable 3D integrated sensor systems.
1453:
Lau, John & Tzeng, Pei-Jer & Lee, Ching-Kuan & Zhan, C. & Li, Ming & Cline, J. & Saito, K. & Hsin, Y. & Chang, P. & Chang, Yiu-Hsiang & Chen, J. & Chen, Shang-Chun & Wu, C. & Chang, H. & Chien, C. & Lin, C. & Ku, Tzu Kun & Lo, Robert
917:
introduced in 2007 by Intel is an experimental 80-core design with stacked memory. Due to the high demand for memory bandwidth, a traditional I/O approach would consume 10 to 25 W. To improve upon that, Intel designers implemented a TSV-based memory bus. Each core is connected to one memory tile
586:
This style partitions standard cells between multiple dies. It promises wirelength reduction and great flexibility. However, wirelength reduction may be undermined unless modules of certain minimal size are preserved. On the other hand, its adverse effects include the massive number of necessary TSVs
387:
The average wire length is reduced. Common figures reported by researchers are on the order of 10–15%, but this reduction mostly applies to longer interconnect, which may affect circuit delay by a greater amount. Given that 3D wires have much higher capacitance than conventional in-die wires, circuit
613:
connectivity and are linked by a small number of global interconnects. Therefore, block-level integration promises to reduce TSV overhead. Sophisticated 3D systems combining heterogeneous dies require distinct manufacturing processes at different technology nodes for fast and low-power random logic,
2161:
Dong Hyuk Woo, Nak Hee Seong, Dean L. Lewis, and Hsien-Hsin S. Lee. "An Optimized 3D-Stacked Memory Architecture by Exploiting Excessive, High-Density TSV Bandwidth". In Proceedings of the 16th International Symposium on High-Performance Computer Architecture, pp. 429–440, Bangalore, India, January
282:
Electronic components are built on multiple die, which are then aligned and bonded. Thinning and TSV creation may be done before or after bonding. One advantage of die-to-die is that each component die can be tested first, so that one bad die does not ruin an entire stack. Moreover, each die in the
128:
techniques stacking IC chips using TSV interconnects, and monolithic 3D ICs, which use fab processes to realize 3D interconnects at the local levels of the on-chip wiring hierarchy as set forth by the ITRS, this results in direct vertical interconnects between device layers. The first examples
897:
In 2004, Tezzaron Semiconductor built working 3D devices from six different designs. The chips were built in two layers with "via-first" tungsten TSVs for vertical interconnection. Two wafers were stacked face-to-face and bonded with a copper process. The top wafer was thinned and the two-wafer
345:
scaling processes improves signal propagation speed, scaling from current manufacturing and chip-design technologies is becoming more difficult and costly, in part because of power-density constraints, and in part because interconnects do not become faster while transistors do. 3D ICs address
956:
Though released much layer IBM Research and Semiconductor Research and Development Groups design and manufactured a number of 3D processor stacks successfully starting from 2007-2008. These stacks (dubbed Escher internally) have demonstrated successful implementation of eDRAM, logic and processor
872:
Ramm went on to develop industry-academic consortia for production of relevant 3D integration technologies. In the German funded cooperative VIC project between Siemens and Fraunhofer, they demonstrated a complete industrial 3D IC stacking process (1993–1996). With his Siemens and Fraunhofer
868:
began research on 3D IC integration in 1987. In 1988, they fabricated 3D CMOS IC devices based on re-crystallization of poly-silicon. In 1997, the inter-chip via (ICV) method was developed by a Fraunhofer–Siemens research team including Peter Ramm, Manfred Engelhardt, Werner Pamler, Christof
814:
chip in 1989. In 1999, the Association of Super-Advanced Electronics Technologies (ASET) in Japan began funding the development of 3D IC chips using TSV technology, called the "R&D on High Density Electronic System Integration Technology" project. The term "through-silicon via" (TSV) was
781:
The most common form of 3D IC design is wafer bonding. Wafer bonding was initially called "cumulatively bonded IC" (CUBIC), which began development in 1981 with the "Three Dimensional Circuit Element R&D Project" in Japan and was completed in 1990 by Yoshihiro Hayashi's NEC research team, who
466:
Other potential advantages include better integration of neuromorphic chips in computing systems. Despite being low power alternatives to general purpose CPUs and GPUs, neuromorphic chips use a fundamentally different "spike-based" computation, which is not directly compatible with legacy digital
446:
between functional blocks in different layers. A typical example would be a processor+memory 3D stack, with the cache memory stacked on top of the processor. This arrangement allows a bus much wider than the typical 128 or 256 bits between the cache and processor. Wide buses in turn alleviate the
568:
3D stacks have more complex material compositions and thermomechanical profiles compared to 2D designs. The stacking of multiple thinned silicon layers, multiple wiring (BEOL) layers, insulators, through silicon vias, micro-C4s result in complex thermomechanical forces and stress patterns being
484:
While cost is a benefit when compared with scaling, it has also been identified as a challenge to the commercialization of 3D ICs in mainstream consumer applications. However, work is being done to address this. Although 3D technology is new and fairly complex, the cost of the manufacturing
120:
is a 3D WLP that interconnects dies side-by-side on a silicon, glass, or organic interposer using through silicon vias (TSVs) and an RDL. In all types of 3D packaging, chips in the package communicate using off-chip signaling, much as if they were mounted in separate packages on a normal printed
909:
CPU. The chip was manufactured with two dies using face-to-face stacking, which allowed a dense via structure. Backside TSVs are used for I/O and power supply. For the 3D floorplan, designers manually arranged functional blocks in each die aiming for power reduction and performance improvement.
526:
To achieve high overall yield and reduce costs, separate testing of independent dies is essential. However, tight integration between adjacent active layers in 3D ICs entails a significant amount of interconnect between different sections of the same circuit module that were partitioned to
380:
Circuit layers can be built with different processes, or even on different types of wafers. This means that components can be optimized to a much greater degree than if they were built together on a single wafer. Moreover, components with incompatible manufacturing could be combined in a single
236:
wafers for the past two decades. Multiple thin (10s–100s nanometer scale) layers of virtually defect-free Silicon can be created by utilizing low temperature (<400 °C) bond and cleave techniques, and placed on top of active transistor circuitry, followed by permanent finalization of the
1226:
flash chip with 16 stacked V-NAND dies. As of 2018, Intel is considering the use of 3D ICs to improve performance. As of 2022, 232-layer NAND, i.e. memory device, chips are made by Micron, that previously in April 2019 were making 96-layer chips; and Toshiba made 96-layer devices in 2018.
876:
In the early 2000s, a team of Fraunhofer and Infineon Munich researchers investigated 3D TSV technologies with particular focus on die-to-substrate stacking within the German/Austrian EUREKA project VSI and initiated the European Integrating Projects e-CUBES, as a first European 3D technology
460:
3D integration modular integration a wide range of custom stacks through standardizing the layer interfaces for numerous stacking options. As a result, custom stack designs can be manufactured with modular building blocks (e.g. custom number of DRAM or eDRAM layers, custom accelerator layers,
618:
structures are a key component of IP blocks and can therefore be used to facilitate testing for 3D ICs. Also, critical paths can be mostly embedded within 2D blocks, which limits the impact of TSV and inter-die variation on manufacturing yield. Finally, modern chip design often requires
929:
by Professor Eby Friedman and his students. The chip runs at a 1.4 GHz and it was designed for optimized vertical processing between the stacked chips which gives the 3D processor abilities that the traditional one layered chip could not reach. One challenge in manufacturing of the
58:) level. In general, 3D integration is a broad term that includes such technologies as 3D wafer-level packaging (3DWLP); 2.5D and 3D interposer-based integration; 3D stacked ICs (3D-SICs); 3D heterogeneous integration; and 3D systems integration; as well as true monolithic 3D ICs. 910:
Splitting large and high-power blocks and careful rearrangement allowed to limit thermal hotspots. The 3D design provides 15% performance improvement (due to eliminated pipeline stages) and 15% power saving (due to eliminated repeaters and reduced wiring) compared to the 2D Pentium 4.
687:(R&D) on 3D ICs was initiated in 1981 with the "Three Dimensional Circuit Element R&D Project" by the Research and Development Association for Future (New) Electron Devices. There were initially two forms of 3D IC design being investigated, recrystallization and 599:, especially inter-die variation. In fact, a 3D layout may yield more poorly than the same circuit laid out in 2D, contrary to the original promise of 3D IC integration. Furthermore, this design style requires to redesign available Intellectual Property, since existing 889:
by a research team consisting of Andy Fan, Adnan-ur Rahman and Rafael Reif in 1999. Reif and Fan further investigated Cu-Cu wafer bonding with other MIT researchers including Kuan-Neng Chen, Shamik Das, Chuan Seng Tan and Nisha Checka during 2001–2002. In 2003,
786:
devices are bonded cumulatively, which would allow a large number of device layers. They proposed fabrication of separate devices in separate wafers, reduction in the thickness of the wafers, providing front and back leads, and connecting the thinned
527:
different dies. Aside from the massive overhead introduced by required TSVs, sections of such a module, e.g., a multiplier, cannot be independently tested by conventional techniques. This particularly applies to timing-critical paths laid out in 3D.
2380: 898:
stack was then diced into chips. The first chip tested was a simple memory register, but the most notable of the set was an 8051 processor/memory stack that exhibited much higher speed and lower power consumption than an analogous 2D assembly.
842:, Shukri J. Souri, Pawan Kapur and Krishna C. Saraswat presented a novel 3D chip design that exploits the vertical dimension to alleviate the interconnect related problems and facilitates heterogeneous integration of technologies to realize a 4314: 3987:
Paul D. Franzon, Erik Jan Marinissen, Muhannad S. Bakir, Philip Garrou, Mitsumasa Koyanagi, Peter Ramm: Handbook of 3D Integration: "Design, Test, and Thermal Management of 3D Integrated Circuits", Vol. 4, Wiley-VCH, Weinheim 2019,
346:
the scaling challenge by stacking 2D dies and connecting them in the 3rd dimension. This promises to speed up communication between layered chips, compared to planar layout. 3D ICs promise many significant benefits, including:
533:
There are few standards for TSV-based 3D IC design, manufacturing, and packaging, although this issue is being addressed. In addition, there are many integration options being explored such as via-last, via-first, via-middle;
261:
There are several methods for 3D IC design, including recrystallization and wafer bonding methods. There are two major types of wafer bonding, Cu-Cu connections (copper-to-copper connections between stacked ICs, used in TSVs) and
353:
More functionality fits into a small space. The smaller form factors are of great importance in embedded devices such as mobile phones, IoT systems for which 3D non-volatile memory stacks have been developed (e.g. 3D NAND chips)
4276: 248:
CEA-Leti also developed monolithic 3D IC approaches, called sequential 3D IC. In 2014, the French research institute introduced its CoolCube™, a low-temperature process flow that provides a true path to 3DVLSI.
1863:
Chen, D.Y.; Chiou, W.C.; Chen, M.F.; Wang, T.D.; Ching, K.M.; Tu, H.J.; Wu, W.J.; Yu, C.L.; Yang, K.F.; Chang, H.B.; Tseng, M.H.; Hsiao, C.W.; Lu, Y.J.; Hu, H.P.; Lin, Y.C.; Hsu, C.S.; Shue, Winston S.; Yu, C.H. (2009).
569:
exerted to the 3D stacks. As a result, local heating in one part of the stack (e.g. on thinned device layers) may result reliability challenges. This requires design-time analysis and reliability-aware design processes.
2798:
Nishimura, T.; Inoue, Yasuo; Sugahara, K.; Kusunoki, S.; Kumamoto, T.; Nakagawa, S.; Nakaya, M.; Horiba, Yasutaka; Akasaka, Yoichi (December 1987). "Three dimensional IC for high performance image signal processor".
293:
are aligned and bonded onto die sites of the second wafer. As in the wafer-on-wafer method, thinning and TSV creation are performed either before or after bonding. Additional die may be added to the stacks before
252:
At Stanford University, researchers designed monolithic 3D ICs using carbon nanotube (CNT) structures vs. silicon using a wafer-scale low temperature CNT transfer processes that can be done at 120 °C.
283:
3D IC can be binned beforehand, so that they can be mixed and matched to optimize power consumption and performance (e.g. matching multiple dice from the low power process corner for a mobile application).
323:
chips in a 3D IC are defective, the entire 3D IC will be defective. Moreover, the wafers must be the same size, but many exotic materials (e.g. III-Vs) are manufactured on much smaller wafers than
227:
Process temperature limitations can be addressed by partitioning the transistor fabrication into two phases. A high temperature phase which is done before layer transfer followed by a layer transfer using
4318: 2377: 2418:
S. Garg, D. Marculescu, "3D-GCP: An analytical model for the impact of process variations on the critical path delay distribution of 3D ICs", in Proc. Int. Symp. Quality Electron. Des., 2009, pp. 147–155
544:
In heterogeneously integrated systems, the delay of one part from one of the different parts suppliers delays the delivery of the whole product, and so delays the revenue for each of the 3D IC part
274:
have been launched that implement 3D IC stacking with TSVs. There are a number of key stacking approaches being implemented and explored. These include die-to-die, die-to-wafer, and wafer-to-wafer.
2239:
Hsien-Hsin S. Lee and Krishnendu Chakrabarty, "Test challenges for 3D integrated circuits", IEEE Design and Test of Computers, Special issue on 3D IC Design and Test, vol. 26, no. 5, pp. 26–35, Sep/Oct
579:
Depending on partitioning granularity, different design styles can be distinguished. Gate-level integration faces multiple challenges and currently appears less practical than block-level integration.
730:
researchers Yoichi Akasaka and Tadashi Nishimura laid out the basic concepts and proposed technologies for 3D ICs. The following year, a Mitsubishi research team including Nishimura, Akasaka and
2281:
D. H. Kim, S. Mukhopadhyay, S. K. Lim, "Through-silicon-via aware interconnect prediction and optimization for 3D stacked ICs", in Proc. of Int. Workshop Sys.-Level Interconn. Pred., 2009, pp. 85–92.
365:
by some researchers. This enables extending the Moore's Law without its traditional pair of Dennard Scaling towards a new generation of chips with increased computing capacity for the same footprint.
497:
Heat building up within the stack must be dissipated. This is an inevitable issue as electrical proximity correlates with thermal proximity. Specific thermal hotspots must be more carefully managed.
65:(ITRS) have worked to classify the various 3D integration technologies to further the establishment of standards and roadmaps of 3D integration. As of the 2010s, 3D ICs are widely used for 121:
circuit board. The interposer may be made of silicon, and is under the dies it connects together. A design can be split into several dies, and then mounted on the interposer with micro bumps.
2572: 1697:
Maestre Caro, A.; Travaly, Y.; Maes, G.; Borghs, G.; Armini, S. (2011). "Enabling Cu-Cu connection in (Dual) damascene interconnects by selective deposition of two different SAM molecules".
2892:
Hayashi, Yoshihiro; Wada, S.; Kajiyana, K.; Oyama, K.; Koh, R.; Takahashi, S.; Kunio, T. (1990). "Fabrication of three-dimensional IC using 'cumulatively bonded IC' (CUBIC) technology".
319:" (TSVs) pass through the silicon substrate(s) between active layers and/or between an active layer and an external bond pad. Wafer-to-wafer bonding can reduce yields, since if any 1 of 3102:; Souri, Shukri J.; Kapur, Pawan; Saraswat, Krishna C. (2001). "3-D ICs: a novel chip design for improving deep-submicrometer interconnect performance and systems-on-chip integration". 1989: 2171:"Predicting the Performance of a 3D Processor-Memory Chip Stack" Jacob, P., McDonald, J.F. et al.Design & Test of Computers, IEEE Volume 22, Issue 6, Nov.–Dec. 2005 Page(s):540–547 873:
colleagues, Ramm published results showing the details of key processes such as 3D metallization and at ECTC 1995 they presented early investigations on stacked memory in processors.
806:
filed a Japanese patent in 1983, followed by Fujitsu in 1984. In 1986, a Japanese patent filed by Fujitsu described a stacked chip structure using TSV. In 1989, Mitsumasa Koyonagi of
422:
the circuitry. Sensitive circuits may also be divided among the layers in such a way as to obscure the function of each layer. Moreover, 3D integration allows to integrate dedicated,
109:(PoP) configurations interconnected with wire bonds or flip chip technology. PoP is used for vertically integrating disparate technologies. 3D WLP uses wafer level processes such as 491:
Each extra manufacturing step adds a risk for defects. In order for 3D ICs to be commercially viable, defects could be repaired or tolerated, or defect density can be improved.
105:(3D WLP). 3D SiPs that have been in mainstream manufacturing for some time and have a well-established infrastructure include stacked memory dies interconnected with wire bonds and 4280: 595:
before die stacking. After die stacking (post-bond testing), a single failed die can render several good dies unusable, undermining yield. This style also amplifies the impact of
930:
three-dimensional chip was to make all of the layers work in harmony without any obstacles that would interfere with a piece of information traveling from one layer to another.
2353: 3235:
Macchiolo, A.; Andricek, L.; Moser, H. G.; Nisius, R.; Richter, R. H.; Weigell, P. (1 January 2012). "SLID-ICV Vertical Integration Technology for the ATLAS Pixel Upgrades".
266:(TSV). 3D ICs with TSVs may use solder microbumps, small solder balls as an interface between two individual dies in a 3D IC. As of 2014, a number of memory products such as 4295: 3419:
B. Black, D. Nelson, C. Webb, and N. Samra, "3D Processing Technology and Its Impact on iA32 Microprocessors", in Proc. of Int. Conf. on Computer Design, pp. 316–318, 2004.
1621: 1454:& Kao, M.. (2013). Redistribution layers (RDLs) for 2.5D/3D IC integration. International Symposium on Microelectronics. 2013. 000434-000441. 10.4071/isom-2013-WA12. 3734: 355: 2520: 2544: 374:
Partitioning a large chip into multiple smaller dies with 3D stacking can improve the yield and reduce the fabrication cost if individual dies are tested separately.
1074:
GB flash memory chip that was manufactured with 24 stacked NAND flash chips using a wafer bonding process. Toshiba also used an eight-layer 3D IC for their 32
666:
with its Foveros 3D logic chip packing is planning to ship CPUs using it. IBM demonstrated a fluid that could be used for both power delivery and cooling 3D ICs.
461:
customizable Non-Volatile Memory layers can be integrated to meet different design requirements). This provides design and cost advantages to semiconductor firms.
3204:
Ramm, P.; Bollmann, D.; Braun, R.; Buchner, R.; Cao-Minh, U.; et al. (November 1997). "Three dimensional metallization for vertically integrated circuits".
4667: 1961:
Xiangyu Dong and Yuan Xie, "System-level Cost Analysis and Design Exploration for 3D ICs", Proc. of Asia and South Pacific Design Automation Conference, 2009,
4385: 2123: 1644: 941:
3D-MAPS, a 64 custom core implementation with two-logic-die stack, was demonstrated by researchers from the School of Electrical and Computer Engineering at
1748: 3067: 2668:
Kawamura, S.; Sasaki, N.; Iwai, T.; Mukai, R.; Nakano, M.; Takagi, M. (December 1983). "3-Dimensional SOI/CMOS IC's fabricated by beam recrystallization".
1937: 2303: 2090: 1382: 1910: 853:
research team including T. Imoto, M. Matsui and C. Takubo developed a "System Block Module" wafer bonding process for manufacturing 3D IC packages.
62: 2617:
Kawamura, S.; Sasaki, Nobuo; Iwai, T.; Nakano, M.; Takagi, M. (October 1983). "Three-dimensional CMOS IC's Fabricated by using beam recrystallization".
1361: 1675: 3295:
M.B. Kleiner, S.A. Kuehn, P. Ramm, W. Weber, IEEE Transactions on Components, Packaging, and Manufacturing Technology - Part B, Vol. 19, No. 4 (1996)
3154: 2593: 795:
lower layer and a thinned NMOS FET upper layer, and proposed CUBIC technology that could fabricate 3D ICs with more than three active layers.
3551: 5415: 4540: 1842: 700: 648:
researchers Robert W. Haisty, Rowland E. Johnson and Edward W. Mehal in 1964. In 1969, the concept of a three-dimensional MOS integrated circuit
2402: 2927: 1993: 4968: 4245: 4024: 3753: 2494: 1464: 1423: 4351: 3455: 2830:
Hayashi, Yoshihiro; Kunio, T.; Oyama, K.; Morimoto, M. (December 1989). "Three dimensional ICs, having four stacked active device layers".
1866:"Enabling 3D-IC foundry technologies for 28 nm node and beyond: Through-silicon-via integration with high throughput die-to-wafer stacking" 2861:
Yamazaki, K.; Itoh, Y.; Wada, A.; Morimoto, K.; Tomita, Y. (December 1990). "4-layer 3-D IC technologies for parallel signal processing".
2254: 4480: 4136:"Advancements in Stacked Chip Scale Packaging (S-CSP), Provides System-in-a-Package Functionality for Wireless and Handheld Applications" 3146: 948:
Centip3De, near-threshold design based on ARM Cortex-M3 cores, was from the Department of Electrical Engineering and Computer Science at
758:
research team led by Yoshihiro Hayashi fabricated a 3D IC with a four-layer structure using laser beam crystallisation. In 1990, a
224:. In general, monolithic 3D ICs are still a developing technology and are considered by most to be several years away from production. 196:(double-data rate 4) memory using 3D TSV package technology. Newer proposed standards for 3D stacked DRAM include Wide I/O, Wide I/O 2, 5200: 2147: 2074: 1406: 791:
to each other. They used CUBIC technology to fabricate and test a two active layer device in a top-to-bottom fashion, having a bulk-Si
4334: 4122:"Joint Project for Mechanical Qualification of Next Generation High Density Package-on-Package (PoP) with Through Mold Via Technology" 6458: 6289: 4565: 922:
die with a link that provides 12 GB/s bandwidth, resulting in a total bandwidth of 1 TB/s while consuming only 2.2 W.
3477: 2357: 5238: 3828: 2970: 2332: 1537: 763: 707:
is fabricated directly above a transistor of the opposite type, with separate gates and an insulator in between. A double-layer of
600: 4299: 4086:
Mingjie Lin; Abbas El Gamal; Yi-chang Lu & Simon Wong (2006-02-22). "Performance benefits of monolithically stacked 3D-FPGA".
3179: 815:
coined by Tru-Si Technologies researchers Sergey Savastiouk, O. Siniaguine, and E. Korczynski, who proposed a TSV method for a 3D
180:
technology includes the "3D SiC" die stacking plan at "Server Memory Forum", November 1–2, 2011, Santa Clara, CA. In August 2014,
5930: 5140: 4635: 4593: 4423: 4404: 3383: 2050: 1617: 468: 5243: 4784: 3702: 2208: 2016: 1196:, and SK Hynix, uses stacked chips and TSVs. The first HBM memory chip was manufactured by SK Hynix in 2013. In January 2016, 6463: 4068: 3993: 3981: 3966: 3943: 3683: 3519: 3009: 2751: 2587: 2452: 2186: 1885: 1813: 1714: 1593: 1338: 1312: 3916: 4257: 3027:
Proceedings International Symposium on Advanced Packaging Materials Processes, Properties and Interfaces (Cat. No.00TH8507)
4442:
Peter Ramm; Armin Klumpp; Josef Weber; Maaike Taklo (2010). "3D System-on-Chip Technologies for More than Moore Systems".
3800: 5628: 5408: 4873: 4613: 4533: 1243: 591:
tools, which are unavailable yet. Also, partitioning a design block across multiple dies implies that it cannot be fully
125: 3452:"Science Daily. "3-D Computer Processor: 'Rochester Cube' Points Way To More Powerful Chip Designs". September 17, 2008" 5188: 5087: 1551: 552: 4211: 5611: 5507: 5122: 4961: 3958: 3772: 3042: 2427:
L. K. Scheffer, "CAD implications of new interconnect technologies", in Proc. Design Autom. Conf., 2007, pp. 576–581.
2329:""SEMI International Standards Program Forms 3D Stacked IC Standards Committee". SEMI press release December 7, 2010" 1963: 1489: 596: 3398: 442:
3D integration allows large numbers of vertical vias between the layers. This allows construction of wide bandwidth
5751: 5478: 4389: 1328: 696: 4296:"CEA Leti placed monolithic 3D as the next generation technology as alternative to dimension scaling, August 2013" 2521:"Intel unveils the first 3D Logic Chip packaging technology, 'Foveros', powering its new 10nm chips, 'Sunny Cove'" 2116: 1636: 5799: 5598: 5134: 5070: 4675: 3735:"Samsung Begins Mass Producing World's Fastest DRAM – Based on Newest High Bandwidth Memory (HBM) Interface" 1767: 942: 592: 551:
It is unclear who should own the 3D IC integration and packaging/assembly. It could be assembly houses like
35:(IC) manufactured by stacking as many as 16 or more ICs and interconnecting them vertically using, for instance, 3082: 2293:
S. Borkar, "3D integration for energy efficient system design", in Proc. Design Autom. Conf., 2011, pp. 214–219.
1941: 703:(CMOS) integrated circuit, using laser beam recrystallization. It consisted of a structure in which one type of 5401: 4737: 4715: 4526: 4103: 3162: 2307: 2094: 1378: 620: 4197: 4183: 4169: 4150: 4135: 4121: 1914: 1792:
Yoon, Seung Wook; Ku, Jae Hoon; Suthiwongsunthorn, Nathapong; Marimuthu, Pandi Chelvam; Carson, Flynn (2009).
1505: 402:. Reducing the power budget leads to less heat generation, extended battery life, and lower cost of operation. 237:
transistors using etch and deposition processes. This monolithic 3D IC technology has been researched at
5260: 5128: 4934: 4900: 3502: 2702:
3-Dimensional Gate Array with Vertically Stacked Dual SOI/CMOS Structure Fabricated by Beam Recrystallization
1354: 437: 4370: 4184:"Evaluation for UV Laser Dicing Process and its Reliability for Various Designs of Stack Chip Scale Package" 3552:"TOSHIBA COMMERCIALIZES INDUSTRY'S HIGHEST CAPACITY EMBEDDED NAND FLASH MEMORY FOR MOBILE CONSUMER PRODUCTS" 2354:""ADVANCED PACKAGING: 3D TSV Technologies Scenarios: Via First or Via Last? 2010 report". Yole report, 2010" 1404:"Large-Scale 3D Chips: Challenges and Solutions for Design Automation, Testing, and Trustworthy Integration" 6468: 6453: 5830: 5582: 4954: 3874: 1667: 1041:
stacked vertically. Toshiba called it "semi-embedded DRAM" at the time, before later calling it a stacked "
1022: 517: 329: 177: 51: 5634: 5571: 5377: 5272: 957:
stacks as well as key experiments in power, thermal, noise and reliability characterization of 3D chips.
919: 894:
and the Microelectronics Center of North Carolina (MCNC) began funding R&D on 3D IC technology.
4249: 4028: 3901: 1291:
Hu, Y.H.; Liu, C.S.; Lii, M.J.; Rebibis, K. J.; Jourdain, A.; La Manna, A.; Beyne, E.; Yu, C.H. (2012).
6294: 5841: 5292: 5250: 4905: 4841: 4371:"Samsung Develops 30nm-class 32GB Green DDR3 for Next-generation Servers, Using TSV Package Technology" 4230: 4170:"Factors Affecting Electromigration and Current Carrying Capacity of Flip Chip and 3D IC Interconnects" 4043:
Peter Ramm; et al. (2010-09-16). "3D Integration technology: Status and application development".
3561: 2767:
Akasaka, Yoichi; Nishimura, T. (December 1986). "Concept and basic technologies for 3-D IC structure".
1219:
GB KLUFG8R1EM flash memory chip with eight stacked 64-layer V-NAND chips. In 2019, Samsung produced a 1
885:
Copper-to-copper wafer bonding, also called Cu-Cu connections or Cu-Cu wafer bonding, was developed at
415: 89:
3D packaging refers to 3D integration schemes that rely on traditional interconnection methods such as
1838: 1523: 172:
components, and the multiple die stacking technique has been suggested as a solution to this problem.
6048: 5762: 5605: 5490: 5193: 5178: 5104: 5064: 4888: 4848: 4511: 3430: 2399: 361:: The increased number of transistors being packed in the same footprint is seen as an extension to 6057: 5915: 5767: 5623: 5205: 5110: 5098: 4660: 2944: 2724: 1038: 788: 684: 315:
are either built into the wafers before bonding or else created in the stack after bonding. These "
290: 3583: 6068: 5788: 5587: 5255: 5033: 5028: 4853: 4826: 4655: 4588: 3451: 1430: 926: 914: 811: 220:
into 3D ICs. There is only one substrate, hence no need for aligning, thinning, bonding, or
169: 50:
3D integrated circuits can be classified by their level of interconnect hierarchy at the global (
4355: 4088:
Proceedings of the 2006 ACM/SIGDA 14th international symposium on Field programmable gate arrays
289:
Electronic components are built on two semiconductor wafers. One wafer is diced; the singulated
6237: 5804: 5669: 5645: 5349: 5146: 4863: 4858: 4831: 4749: 3145:
Imoto, T.; Matsui, M.; Takubo, C.; Akejima, S.; Kariya, T.; Nishikawa, T.; Enomoto, R. (2001).
2250: 949: 735: 633: 408:
The vertical dimension adds a higher order of connectivity and offers new design possibilities.
4008: 2997: 2438: 1747:
Reif, Rafael; Tan, Chuan Seng; Fan, Andy; Chen, Kuan-Neng; Das, Shamik; Checka, Nisha (2002).
229: 6306: 6258: 6079: 5895: 5810: 5741: 5577: 5361: 5315: 5183: 5081: 5018: 4789: 4650: 4549: 3910:(Japanese) – oki technical review #211 Vol.74 #3 (issued:2007-10, 2011-11-08) 3855: 3671: 2378:"Si, glass interposers for 3D packaging: analysts' takes". Advanced Packaging August 10, 2010 1189: 1178: 1010: 979: 816: 747: 712: 504: 399: 312: 267: 201: 3773:"Samsung Starts Production of 512 GB UFS NAND Flash Memory: 64-Layer V-NAND, 860 MB/s Reads" 1403: 810:
pioneered the technique of wafer-to-wafer bonding with TSV, which he used to fabricate a 3D
609:
This style assigns entire design blocks to separate dies. Design blocks subsume most of the
430:
for any commodity components/chips to be monitored at runtime, seeking to protect the whole
426:-like features in separate layers. The objective here is to implement some kind of hardware 6380: 6124: 6019: 5793: 5686: 5540: 5501: 5432: 5424: 5287: 5282: 5265: 4985: 4836: 4799: 4779: 4695: 4576: 4451: 3637: 3611: 3254: 3002:
Handbook of 3D Integration, Volume 1: Technology and Applications of 3D Integrated Circuits
2626: 2444: 1006: 975: 775: 767: 716: 427: 300: 233: 165: 110: 691:, with the earliest successful demonstrations using recrystallization. In October 1983, a 8: 6100: 6008: 5900: 5736: 5713: 5334: 5277: 5116: 5038: 5013: 4977: 4893: 4868: 4705: 4680: 4598: 3481: 1197: 1182: 1143: 937:' 130 nm process and Tezzaron's FaStack technology were presented and demonstrated: 835: 799: 727: 513: 503:
Taking full advantage of 3D integration requires sophisticated design techniques and new
419: 316: 304: 263: 238: 221: 213: 181: 130: 102: 61:
International organizations such as the Jisso Technology Roadmap Committee (JIC) and the
36: 4455: 3676:
Three-Dimensional Integration of Semiconductors: Processing, Materials, and Applications
3258: 2630: 2580:
Three-Dimensional Integration of Semiconductors: Processing, Materials, and Applications
2328: 1126:
announced plans for 3D IC production with TSV technology in January 2010. In 2011,
958: 570: 462: 6405: 6265: 5973: 5940: 5756: 5640: 5618: 5354: 5339: 5220: 5058: 5023: 4910: 4623: 4608: 4581: 4499: 4467: 4109: 4074: 3875:"Micron's 232 Layer NAND Now Shipping: 1Tbit, 6-Plane Dies With 50% More I/O Bandwidth" 3805: 3336: 3278: 3244: 3217: 3127: 3048: 3025:
Savastionk, S.; Siniaguine, O.; Korczynski, E. (2000). "Thru-silicon vias for 3D WLP".
2978: 2905: 2874: 2843: 2812: 2780: 2681: 2650: 1891: 1819: 1759: 1720: 1599: 1163: 1091: 1087: 1086:
GB chips. In the 2010s, 3D ICs came into widespread commercial use in the form of
1042: 861: 656:
researchers Katsuhiro Onoda, Ryo Igarashi, Toshio Wada, Sho Nakanuma and Toru Tsujide.
271: 197: 106: 32: 4427: 3907: 3319:
Fan, Andy; Rahman, Adnan-ur; Reif, Rafael (February 2, 1999). "Copper Wafer Bonding".
3213: 2014:
James J-Q Lu, Ken Rose, & Susan Vitkavage "3D Integration: Why, What, Who, When?"
1413:, in IPSJ Transactions on System LSI Design Methodology, vol. 10, pp. 45–62, Aug. 2017 1078:
GB THGBM flash chip in 2008. In 2010, Toshiba used a 16-layer 3D IC for their 128
6400: 6321: 6212: 6164: 5993: 5920: 5882: 5310: 4732: 4685: 4408: 4099: 4064: 3989: 3977: 3962: 3954: 3939: 3754:"Samsung announces mass production of next-generation HBM2 memory – ExtremeTech" 3679: 3379: 3270: 3158: 3119: 3052: 3038: 3005: 2940: 2878: 2847: 2747: 2642: 2583: 2448: 2141: 2068: 2043: 1881: 1809: 1710: 1589: 1573: 1485: 1334: 1308: 1248: 1212: 1159: 1034: 994: 823: 807: 645: 431: 395: 98: 4471: 3712: 3340: 3282: 3221: 2982: 2909: 2816: 2784: 2685: 2654: 2216: 2041:
William J. Dally, "Future Directions for On-Chip Interconnection Networks" page 17,
2020: 1895: 1823: 1724: 1603: 6473: 6116: 6063: 5890: 5529: 5043: 4878: 4794: 4710: 4690: 4645: 4640: 4459: 4113: 4091: 4078: 4056: 4048: 3328: 3262: 3209: 3131: 3111: 3099: 3030: 2897: 2866: 2835: 2804: 2772: 2739: 2673: 2634: 2545:"IBM is trying to solve all of computing's scaling issues with 5D electronic blood" 1873: 1801: 1763: 1702: 1581: 1300: 1265: 843: 839: 743: 731: 644:
in 1960, the concept of a three-dimensional MOS integrated circuit was proposed by
623:. Restricting the impact of such changes to single dies is essential to limit cost. 40: 5925: 3529: 2409:, in IEEE Trans. on CAD of ICs and Systems, vol. 31, no. 2, pp. 228–241, Feb. 2012 2251:""EDA's big three unready for 3D chip packaging". EE Times Asia, October 25, 2007" 2182: 1169: 6393: 6326: 6179: 5910: 5820: 5664: 5233: 5228: 5210: 5173: 5168: 5093: 5053: 4052: 3936:
Handbook of 3D Integration, Technology and Applications of 3D Integrated Circuits
3920: 3913: 3431:"Seguin, Steve. "World's First Stacked 3D Processor Created". September 16, 2008" 2732:
Handbook of 3D Integration: Technology and Applications of 3D Integrated Circuits
2699:
Kawamura, S.; Sasaki, Nobuo; Iwai, T.; Mukai, R.; Nakano, M.; Takagi, M. (1984).
2406: 2384: 1479: 1410: 1056: 1026: 934: 770:) layers formed by laser recrystallization, and the four layers consisting of an 751: 708: 615: 588: 443: 151: 44: 5551: 4261: 3266: 2969:
Tanaka, Tetsu; Lee, Kang Wook; Fukushima, Takafumi; Koyanagi, Mitsumasa (2011).
1836:
Real World Technologies. "3D Integration: A Revolution in Design". May 2, 2007.
6368: 6149: 6139: 5905: 5708: 5163: 4883: 4603: 3951:
Three-Dimensional Integrated Circuit Design: Eda, Design And Microarchitectures
2700: 1865: 1793: 1292: 1099: 982:, released in 2004, is the earliest commercial product to use a 3D IC, an 831: 771: 680: 637: 423: 398:
by 10–100 times. Shorter wires also reduce power consumption by producing less
362: 145: 4463: 4085: 3672:"Research and Development History of Three-Dimensional Integration Technology" 3034: 2901: 2743: 2573:"Research and Development History of Three-Dimensional Integration Technology" 2481: 2468: 1877: 1805: 1706: 1585: 1304: 723:
with vertically stacked dual SOI/CMOS structure using beam recrystallization.
311:
into 3D ICs. Each wafer may be thinned before or after bonding. Vertical
6447: 6430: 6253: 6169: 5988: 5815: 5783: 5344: 5327: 5322: 4915: 4742: 4441: 3274: 3123: 2870: 2808: 2776: 2677: 2646: 2440:
Advanced Materials Innovation: Managing Global Technology in the 21st century
2180:
A. Palesko, The Cost of 3D ICs, 3D InCites Knowledge Portal, January 9, 2015
1174: 1105: 688: 659: 73: 4095: 2839: 1578:
25th Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC 2014)
826:, led by Mitsumasa Koyanagi, used TSV technology to fabricate a three-layer 6311: 6299: 6187: 6154: 5983: 5968: 5535: 4819: 4618: 4215: 2638: 1207:
In 2017, Samsung Electronics combined 3D IC stacking with its 3D 
1151: 1135: 1014: 739: 695:
research team including S. Kawamura, Nobuo Sasaki and T. Iwai successfully
467:
computation. 3D integration provides key opportunities in this integration.
308: 217: 90: 69: 1967: 925:
An academic implementation of a 3D processor was presented in 2008 at the
6353: 6095: 6044: 5950: 5935: 5718: 5680: 4814: 4809: 4630: 4277:"Samsung starts mass producing first 3D vertical NAND flash, August 2013" 4060: 3612:"Toshiba Launches the Largest Density Embedded NAND Flash Memory Devices" 3588: 3380:"Six 3D designs precede 90% power-saving claims from Tezzaron - EE Times" 986: 827: 649: 448: 124:
3D ICs can be divided into 3D Stacked ICs (3D SIC), which refers to
5393: 4518: 4198:"High Density PoP (Package-on-Package) and Package Stacking Development" 3638:"Toshiba Launches Industry's Largest Embedded NAND Flash Memory Modules" 3075:
International Journal of Digital Application & Contemporary Research
2495:"A Look At Trishul: Arm's First High-Density 3D Logic Stacked Test-Chip" 6425: 6415: 6348: 6222: 6192: 6159: 6134: 6129: 6106: 5978: 5958: 5836: 5698: 5675: 5561: 5463: 5458: 5453: 5382: 5048: 4993: 4774: 4151:"Achieving the 3rd Generation From 3D Packaging to 3D IC Architectures" 1749:"3-D Interconnects Using Cu Wafer Bonding: Technology and Applications" 1095: 1059: 1048:
In April 2007, Toshiba commercialized an eight-layer 3D IC, the 16
720: 704: 535: 325: 141: 117: 66: 55: 4946: 3332: 762:
research team including K. Yamazaki, Y. Itoh and A. Wada fabricated a
477:
Because this technology is new, it carries new challenges, including:
6388: 6232: 6227: 6217: 6144: 6024: 5858: 5853: 5778: 5703: 5008: 4804: 3904:- 後藤弘茂のWeekly海外ニュース Impress Watch Co. (issued:2011-11-08, 2011-11-08) 3833: 3777: 3115: 2735: 1935:
Developer, Shed. "3D Processors, Stacking Core". September 20, 2005.
1908:
Developer, Shed. "3D Processors, Stacking Core". September 20, 2005.
906: 830:
in 2000, a three-layer artificial retina chip in 2001, a three-layer
783: 759: 641: 434:
against run-time attacks as well as malicious hardware modifications.
94: 3147:"Development of 3-Dimensional Module Package, "System Block Module"" 1794:"Fabrication and packaging of microbump interconnections for 3D TSV" 366: 6410: 6358: 6338: 6316: 6202: 6197: 6085: 6074: 6003: 5773: 5003: 4998: 4725: 4700: 3707: 2935: 1402:
J. Knechtel, O. Sinanoglu, I. M. Elfadel, J. Lienig, C. C. N. Sze,
1223: 1127: 1112: 1052: 792: 3923:(Japanese) – Akita Elpida Memory, inc (2011-11-08) 3249: 1424:"INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2011 EDITION" 97:
to achieve vertical stacking. 3D packaging can be divided into 3D
6270: 6207: 6029: 6014: 5868: 5825: 5473: 4478: 4424:"Ziptronix, Raytheon Prove 3-D Integration of 0.5 µm CMOS Device" 3642: 3615: 3556: 3524: 2088:
Johnson, R Colin. "3-D chip stacks standardized". July 10, 2008.
1030: 990: 865: 850: 803: 692: 610: 1791: 332:(typically 300 mm), complicating heterogeneous integration. 6343: 6034: 5998: 5963: 5523: 5495: 5468: 5443: 4352:"Global 3D Chips/3D IC Market to Reach US$ 5.2 Billion by 2015" 3180:"Fraunhofer EMFT: Our Early and Ongoing Work in 3D Integration" 1271: 1260: 1254: 1208: 834:
in 2002, and a ten-layer memory chip in 2005. The same year, a
719:(SOI) CMOS structure. The following year, they fabricated a 3D 232:, also known as layer transfer, which has been used to produce 134: 28: 16:
Integrated circuit composed of several vertically stacked chips
3829:"Samsung Shares SSD Roadmap for QLC NAND And 96-layer 3D NAND" 3024: 2797: 1234:
processors, and some Zen 4 processors have 3D Cache included.
1082:
GB THGBM2 flash chip, which was manufactured with 16 stacked 8
1001:
The earliest known commercial use of a 3D IC chip was in
6420: 6331: 6090: 5863: 5656: 5518: 5513: 4720: 1696: 1231: 1119: 1067: 1018: 983: 902: 891: 766:
image signal processor on a four-layer 3D IC, with SOI (
663: 242: 189: 173: 3234: 2894:
Digest of Technical Papers.1990 Symposium on VLSI Technology
6363: 5746: 5692: 5593: 5546: 5484: 2304:""3-D chip stacks standardized". EE Times November 7, 2008" 2289: 2287: 1798:
2009 IEEE International Conference on 3D System Integration
1201: 1123: 1116: 1002: 971: 967: 342: 193: 3399:"Terrazon applies 3D stacking technology to 8051 MCU core" 3354: 3305: 3098: 2968: 2832:
International Technical Digest on Electron Devices Meeting
1699:
2011 IEEE International Interconnect Technology Conference
1297:
2012 IEEE International Interconnect Technology Conference
113:(RDLs) and wafer bumping processes to form interconnects. 3792: 3503:"Centip3De: A 64-Core, 3D Stacked, Near-Threshold System" 2971:"3D Integration Technology and Heterogeneous Integration" 2829: 1193: 886: 755: 653: 556: 256: 164:
The digital electronics market requires a higher density
140:
As of the 2010s, 3D IC packages are widely used for
3203: 3144: 2891: 2860: 2616: 2284: 1062:
memory chip, which was manufactured with eight stacked 2
933:
In ISSCC 2012, two 3D-IC-based multi-core designs using
2926:
Fukushima, T.; Tanaka, T.; Koyanagi, Mitsumasa (2007).
2925: 2698: 2667: 2209:"Impact of Wafer-Level 3D Stacking on the Yield of ICs" 1870:
2009 IEEE International Electron Devices Meeting (IEDM)
1158:
class) based on TSV in September, and then Samsung and
1122:
dies) in September 2009, and released it in June 2011.
212:
True monolithic 3D ICs are built in layers on a single
4405:"Three-Dimensional ICs Solve the Interconnect Paradox" 4335:"Monolithic 3D-ICs with Single Crystal Silicon Layers" 4332: 3820: 3764: 3856:"Intel unveils a groundbreaking way to make 3D chips" 2079:
Computer Systems Laboratory Stanford University, 2006
1552:"Comparing Samsung's 3D NAND with Traditional 3D ICs" 1070:
introduced 24-layer 3D IC technology, with a 16
4426:. Semiconductor International. 2007. Archived from 4407:. Semiconductor International. 2005. Archived from 4388:. Semiconductor International. 2008. Archived from 4246:"MagnaChip, Tezzaron form partnership for 3D chips" 1618:"Samsung starts production of 3D DDR4 DRAM modules" 1293:"Cu-Cu hybrid bonding as option for 3D IC stacking" 962: 63:
International Technology Roadmap for Semiconductors
2863:International Technical Digest on Electron Devices 603:and EDA tools do not provision for 3D integration. 4479:Philip Garrou, James Lu & Peter Ramm (2012). 3974:Handbook of 3D Integration, 3D Process Technology 3155:Institute of Electrical and Electronics Engineers 1862: 1637:"CoolCube™: A True 3DVLSI Alternative to Scaling" 754:arranged in a three-layer structure. In 1989, an 587:for interconnects. This design style requires 3D 6445: 3745: 2766: 1465:"Xilinx and TSMC: Volume Production of 3D Parts" 798:The first 3D IC stacked chips fabricated with a 662:has made a high-density 3D logic test chip, and 418:; the stacked structure complicates attempts to 3972:Philip Garrou, Mitsumasa Koyanagi, Peter Ramm: 3151:Electronic Components and Technology Conference 2995: 1290: 679:3D ICs were first successfully demonstrated in 4022: 3934:Philip Garrou, Christopher Bower, Peter Ramm: 1987:"3D IC Technology Delivers The Total Package" 1746: 5409: 4962: 4534: 3969:, 978–1441907837, Publishing Date: Dec. 2009. 3938:Vol. 1 and Vol. 2, Wiley-VCH, Weinheim 2008, 3697: 3695: 3318: 2394: 2392: 2356:. I-micronews.com. 2010-01-01. Archived from 1990:"3D IC Technology Delivers the Total Package" 669: 4212:"3D Interconnect Technology Coming to Light" 3727: 3428: 3355:"Tezzaron Semiconductor: The Z Path Forward" 3065: 2921: 2919: 2206: 880: 802:(TSV) process were invented in 1980s Japan. 4279:. Electroiq.com. 2013-08-06. Archived from 4231:"Three-dimensional SoCs perform for future" 3630: 2996:Takahashi, Kenji; Tanida, Kazumasa (2011). 2801:1987 International Electron Devices Meeting 2769:1986 International Electron Devices Meeting 2670:1983 International Electron Devices Meeting 1398: 1396: 1394: 1392: 5416: 5402: 4969: 4955: 4929: 4541: 4527: 4042: 4006: 3692: 2389: 2235: 2233: 1330:Wafer Bonding: Applications and Technology 84: 5423: 4548: 4258:"Matrix preps 64-Mbyte write-once memory" 3949:Yuan Xie, Jason Cong, Sachin Sapatnekar: 3665: 3663: 3661: 3372: 3248: 2989: 2916: 2718: 2716: 2714: 2712: 2436: 2430: 2421: 2412: 2371: 2346: 2321: 2306:. Eetimes.com. 2014-05-09. Archived from 2296: 2243: 2200: 2165: 2155: 2114:"3D-ICs and Integrated Circuit Security" 2108: 2082: 2035: 2008: 1665: 4027:. Solid State Technology. Archived from 4009:"3D Integration: A Revolution in Design" 3475:3D-MAPS project webpage at Georgia Tech 3469: 3444: 3422: 3413: 2566: 2564: 2562: 2560: 2558: 2556: 2554: 2277: 2275: 2273: 2271: 1981: 1955: 1938:"Page 2 - 3D Processors, Stacking Cores" 1929: 1902: 1839:"3D Integration: A Revolution in Design" 1830: 1668:"Monolithic 3D IC Heats Up at DATE 2015" 1567: 1565: 1563: 1561: 1524:"Next-Gen 3D Chip/Packaging Race Begins" 1389: 1168: 1066:GB NAND flash chips. In September 2007, 966: 738:(ISP) on a 3D IC, with an array of 394:Keeping a signal on-chip can reduce its 150: 5141:Application-specific integrated circuit 4976: 4636:Carbon nanotube field-effect transistor 4594:Applications of artificial intelligence 4025:"Mapping progress in 3D IC integration" 3798: 3321:Electrochemical and Solid-State Letters 2230: 2017:"3D Integration: Why, What, Who, When?" 1742: 1740: 1738: 1736: 1734: 701:complementary metal–oxide–semiconductor 564:Thermomechanical Stress and Reliability 516:are large compared to gates and impact 6446: 4785:Differential technological development 3826: 3770: 3751: 3658: 3004:. John Wiley & Sons. p. 339. 2722: 2709: 2398:J. Knechtel, I. L. Markov, J. Lienig, 2146:: CS1 maint: archived copy as title ( 2073:: CS1 maint: archived copy as title ( 1379:"What is 3D Integration? - 3D InCites" 541:Heterogeneous Integration Supply Chain 307:, which are then aligned, bonded, and 257:Manufacturing technologies for 3D SiCs 192:modules for servers based on emerging 54:), intermediate (bond pad) and local ( 5397: 4950: 4522: 4148: 3902:JEDECが「DDR4」とTSVを使う「3DS」メモリ技術の概要を明らかに 3801:"Samsung makes 1TB flash eUFS module" 2551: 2268: 1647:from the original on January 22, 2016 1634: 1571: 1558: 856: 129:of a monolithic approach are seen in 5848:Three-dimensional integrated circuit 5076:Three-dimensional integrated circuit 4755:Three-dimensional integrated circuit 3669: 3584:"Hynix Surprises NAND Chip Industry" 3396: 3177: 2570: 2518: 2400:"Assembling 2D Blocks into 3D Chips" 1731: 1538:"Advanced 2.5D/3D Packaging Roadmap" 782:demonstrated a method where several 674: 636:(MOS IC) chip was first proposed by 207: 21:three-dimensional integrated circuit 5629:Programmable unijunction transistor 4874:Future-oriented technology analysis 4614:Progress in artificial intelligence 4444:Journal of Microsystem Technologies 4333:Deepak C. Sekar & Zvi Or-Bach. 1666:von Trapp, Francoise (2015-03-16). 1477: 1244:Advanced packaging (semiconductors) 1200:announced early mass production of 734:graduate Yasuo Inoue fabricated an 168:chip to cater to recently released 155:One master die and three slave dies 13: 5530:Multi-gate field-effect transistor 5088:Erasable programmable logic device 4386:"How Might 3-D ICs Come Together?" 3976:Vol. 3, Wiley-VCH, Weinheim 2014, 3928: 3827:Tallis, Billy (October 17, 2018). 3799:Manners, David (30 January 2019). 3771:Shilov, Anton (December 5, 2017). 3068:"3-Dimensional (3D) ICs: A Survey" 3066:Lavanyashree, B.J. (August 2016). 2998:"Vertical Interconnection by ASET" 2257:from the original on July 18, 2008 1678:from the original on April 2, 2015 1215:technology), manufacturing its 512 14: 6485: 5508:Insulated-gate bipolar transistor 5123:Complex programmable logic device 4315:"3D integration: A status report" 4000: 3872: 3458:from the original on May 17, 2014 2975:IEICE Transactions on Electronics 2335:from the original on May 17, 2014 1756:Advanced Metallization Conference 548:Lack of Clearly Defined Ownership 6459:Semiconductor device fabrication 5752:Heterostructure barrier varactor 5479:Chemical field-effect transistor 4928: 4260:. EE Times. 2001. Archived from 4248:. EE Times. 2004. Archived from 3914:TSV (Through Silicon Via:Si貫通電極) 3752:Hruska, Joel (19 January 2016). 3560:. April 17, 2007. Archived from 3386:from the original on 2014-10-31. 2725:"Introduction to 3D Integration" 2723:Garrou, Philip (6 August 2008). 2032:Future Fab Intl. Volume 23, 2007 1964:"Yuan Xie's 3D IC Research Page" 1624:from the original on 2014-12-31. 1385:from the original on 2014-12-30. 1367:from the original on 2015-09-24. 1204:, at up to 8 GB per stack. 963:Commercial 3D ICs (2004–present) 574: 5800:Mixed-signal integrated circuit 5135:Field-programmable object array 5071:Mixed-signal integrated circuit 4651:Fourth-generation optical discs 4298:. Electroiq.com. Archived from 3866: 3848: 3604: 3576: 3544: 3512: 3495: 3390: 3347: 3312: 3298: 3289: 3228: 3197: 3178:Ramm, Peter (22 January 2016). 3171: 3138: 3092: 3059: 3018: 2962: 2885: 2854: 2823: 2791: 2760: 2692: 2661: 2610: 2537: 2512: 2487: 2474: 2461: 2189:from the original on 2015-01-09 2174: 2129:from the original on 2008-09-07 2056:from the original on 2010-06-12 2005:Electronic Design July 02, 2010 1911:"3D Processors, Stacking Cores" 1856: 1845:from the original on 2010-12-22 1785: 1690: 1659: 1628: 1610: 1544: 1530: 1516: 1498: 943:Georgia Institute of Technology 621:last-minute engineering changes 2437:Moskowitz, Sanford L. (2016). 2091:"3-D chip stacks standardized" 1471: 1457: 1447: 1416: 1371: 1347: 1321: 1284: 905:presented a 3D version of the 1: 5261:Hardware description language 5129:Field-programmable gate array 4901:Technology in science fiction 4485:Three-Dimensional Integration 4354:. PRWeb. 2010. Archived from 4090:. Vol. 26. p. 113. 3894: 3478:"3D-MAPS Many-Core Processor" 3397:Cole, Bernard (22 May 2005). 3214:10.1016/S0167-9317(97)00092-0 2215:. No. 23. Archived from 1478:Lau, John H. (3 April 2019). 1192:(HBM), developed by Samsung, 1166:(HMC) technology in October. 1142:class) using TSV technology, 1115:DRAM chip (stacked with four 472: 388:delay may or may not improve. 6464:Packaging (microfabrication) 5831:Silicon controlled rectifier 5693:Organic light-emitting diode 5583:Diffused junction transistor 4053:10.1109/ESSCIRC.2010.5619857 2619:IEEE Electron Device Letters 2152:Tezzaron Semiconductor, 2008 1230:In 2022, AMD has introduced 1185:(TSV) 3D IC technology. 838:research team consisting of 85:3D ICs vs. 3D packaging 31:(metal-oxide semiconductor) 7: 5635:Static induction transistor 5572:Bipolar junction transistor 5524:MOS field-effect transistor 5496:Fin field-effect transistor 5273:Formal equivalence checking 4214:. EDN. 2004. Archived from 4149:Smith, Lee (July 6, 2010). 4045:2010 Proceedings of ESSCIRC 3678:. Springer. pp. 15–8. 3429:Steve Seguin (2008-09-16). 3267:10.1016/j.phpro.2012.02.444 3206:Microelectronic Engineering 2582:. Springer. pp. 8–13. 1237: 414:3D integration can achieve 336: 10: 6490: 5842:Static induction thyristor 5293:Hierarchical state machine 5251:Transaction-level modeling 4906:Technology readiness level 4842:Technological unemployment 2928:"Thermal Issues of 3D ICs" 2519:Lobo, Savia (2018-12-13). 1574:"3D ICs in the real world" 1481:Heterogeneous Integrations 1333:. Springer. 9 March 2013. 670:Demonstrations (1983–2012) 627: 416:security through obscurity 234:Silicon on Insulator (SOI) 159: 6379: 6279: 6246: 6178: 6115: 6043: 6011:(Hexode, Heptode, Octode) 5949: 5881: 5763:Hybrid integrated circuit 5727: 5655: 5606:Light-emitting transistor 5560: 5442: 5431: 5370: 5303: 5219: 5194:Digital signal processing 5179:Logic in computer science 5156: 5105:Programmable logic device 5065:Hybrid integrated circuit 4984: 4924: 4889:Technological singularity 4849:Technological convergence 4767: 4563: 4556: 4464:10.1007/s00542-009-0976-1 4011:. Real World Technologies 4007:Euronymous (2007-05-02). 3520:"System-in-Package (SiP)" 3035:10.1109/ISAPM.2000.869271 2902:10.1109/VLSIT.1990.111025 2744:10.1002/9783527623051.ch1 1878:10.1109/IEDM.2009.5424350 1806:10.1109/3DIC.2009.5306554 1707:10.1109/IITC.2011.5940263 1586:10.1109/ASMC.2014.6846988 1510:Semiconductor Engineering 1305:10.1109/IITC.2012.6251571 881:United States (1999–2012) 377:Heterogeneous Integration 303:are built on two or more 6058:Backward-wave oscillator 5768:Light emitting capacitor 5624:Point-contact transistor 5594:Junction Gate FET (JFET) 5206:Switching circuit theory 5111:Programmable Array Logic 5099:Programmable logic array 4661:Holographic data storage 4155:Future Fab International 2871:10.1109/IEDM.1990.237127 2809:10.1109/IEDM.1987.191362 2777:10.1109/IEDM.1986.191227 2678:10.1109/IEDM.1983.190517 2331:. Semi.org. 2010-12-07. 2213:Future Fab International 1278: 1146:introduced 3D-stacked 32 1013:, released in 2004. The 819:(WLP) solution in 2000. 685:research and development 632:Several years after the 79: 6069:Crossed-field amplifier 5588:Field-effect transistor 5256:Register-transfer level 4854:Technological evolution 4827:Exploratory engineering 4656:3D optical data storage 4589:Artificial intelligence 4096:10.1145/1117201.1117219 4047:. IEEE. pp. 9–16. 4023:Semiconductors (2006). 3953:, Publisher: Springer, 3670:Kada, Morihiro (2015). 3104:Proceedings of the IEEE 2977:. J94-C (11): 355–364. 2840:10.1109/IEDM.1989.74183 2571:Kada, Morihiro (2015). 2383:March 14, 2011, at the 927:University of Rochester 915:Teraflops Research Chip 606:Block-level Integration 538:or direct bonding; etc. 510:TSV-introduced Overhead 176:disclosed the upcoming 6238:Voltage-regulator tube 5805:MOS integrated circuit 5670:Constant-current diode 5646:Unijunction transistor 5147:Tensor Processing Unit 4864:Technology forecasting 4859:Technological paradigm 4832:Proactionary principle 4750:Software-defined radio 4317:. 2009. Archived from 3359:Tezzaron Semiconductor 2639:10.1109/EDL.1983.25766 1635:Michallet, Jean-Eric. 1186: 998: 950:University of Michigan 822:The Koyanagi Group at 748:arithmetic logic units 736:image signal processor 634:MOS integrated circuit 616:Design-for-testability 583:Gate-level Integration 156: 6307:Electrolytic detector 6080:Inductive output tube 5896:Low-dropout regulator 5811:Organic semiconductor 5742:Printed circuit board 5578:Darlington transistor 5425:Electronic components 5362:Electronic literature 5316:Hardware acceleration 5184:Computer architecture 5082:Emitter-coupled logic 5019:Printed circuit board 4790:Disruptive innovation 4550:Emerging technologies 2482:U.S. patent 3,651,490 2469:U.S. patent 3,613,226 2445:John Wiley & Sons 2310:on September 30, 2012 2207:Robert Patti (2007). 1211:technology (based on 1190:High Bandwidth Memory 1179:High Bandwidth Memory 1172: 1108:developed the first 8 1011:handheld game console 980:handheld game console 970: 817:wafer-level packaging 713:phosphosilicate glass 400:parasitic capacitance 359:Moore's Law Extension 301:Electronic components 268:High Bandwidth Memory 202:High Bandwidth Memory 154: 111:redistribution layers 6125:Beam deflection tube 5794:Metal-oxide varistor 5687:Light-emitting diode 5541:Thin-film transistor 5502:Floating-gate MOSFET 5288:Finite-state machine 5266:High-level synthesis 5201:Circuit minimization 4837:Technological change 4780:Collingridge dilemma 4577:Ambient intelligence 4358:on September 1, 2010 3564:on November 23, 2010 3454:. Sciencedaily.com. 3029:. pp. 206–207. 2834:. pp. 837–840. 2803:. pp. 111–114. 2771:. pp. 488–491. 2672:. pp. 364–367. 2447:. pp. 165–167. 2183:"The Cost of 3D ICs" 1580:. pp. 113–119. 1572:James, Dick (2014). 1506:"Advanced Packaging" 1162:announced TSV-based 1007:PlayStation Portable 976:PlayStation Portable 768:silicon-on-insulator 717:silicon-on-insulator 699:a three-dimensional 384:Shorter Interconnect 317:through-silicon vias 305:semiconductor wafers 222:through-silicon vias 184:started producing 64 166:semiconductor memory 37:through-silicon vias 6469:Japanese inventions 6454:Integrated circuits 6101:Traveling-wave tube 5901:Switching regulator 5737:Printed electronics 5714:Step recovery diode 5491:Depletion-load NMOS 5335:Digital photography 5117:Generic Array Logic 5039:Combinational logic 5014:Printed electronics 4978:Digital electronics 4894:Technology scouting 4869:Accelerating change 4599:Machine translation 4456:2010MiTec..16.1051R 4373:. Samsung.com. 2011 4031:on January 31, 2013 3259:2012PhPro..37.1009M 3081:(1). Archived from 2631:1983IEDL....4..366K 1198:Samsung Electronics 1183:through-silicon via 1144:Samsung Electronics 836:Stanford University 800:through-silicon via 728:Mitsubishi Electric 264:through-silicon via 239:Stanford University 214:semiconductor wafer 182:Samsung Electronics 103:wafer level package 6406:Crystal oscillator 6266:Variable capacitor 5941:Switched capacitor 5883:Voltage regulators 5757:Integrated circuit 5641:Tetrode transistor 5619:Pentode transistor 5612:Organic LET (OLET) 5599:Organic FET (OFET) 5283:Asynchronous logic 5059:Integrated circuit 5024:Electronic circuit 4911:Technology roadmap 4624:Speech recognition 4609:Mobile translation 4582:Internet of things 4157:. Amkor Technology 3919:2012-04-25 at the 3908:貫通電極を用いたチップ積層技術の開発 3806:Electronics Weekly 3592:. 5 September 2007 3433:. Tomshardware.com 2547:. 7 November 2015. 2405:2016-03-04 at the 1526:. 31 January 2022. 1409:2017-08-07 at the 1187: 1164:Hybrid Memory Cube 1092:package on package 1088:multi-chip package 1045:" (CoC) solution. 999: 857:Europe (1988–2005) 774:, level detector, 341:While traditional 272:Hybrid Memory Cube 245:-sponsored grant. 198:Hybrid Memory Cube 157: 126:advanced packaging 107:package on package 33:integrated circuit 6441: 6440: 6401:Ceramic resonator 6213:Mercury-arc valve 6165:Video camera tube 6117:Cathode-ray tubes 5877: 5876: 5485:Complementary MOS 5391: 5390: 5340:Digital telephone 5311:Computer hardware 5278:Synchronous logic 4944: 4943: 4763: 4762: 4733:Optical computing 4233:. EE Design. 2003 4070:978-1-4244-6664-1 3994:978-3-527-33855-9 3982:978-3-527-33466-7 3967:978-1-4419-0783-7 3944:978-3-527-32034-9 3879:www.anandtech.com 3685:978-3-319-18675-7 3333:10.1149/1.1390894 3100:Banerjee, Kaustav 3011:978-3-527-62306-8 2941:Tohoku University 2753:978-3-527-62305-1 2705:. pp. 44–45. 2589:978-3-319-18675-7 2454:978-0-470-50892-3 1887:978-1-4244-5639-0 1815:978-1-4244-4511-0 1716:978-1-4577-0503-8 1641:www.3DInCites.com 1595:978-1-4799-3944-2 1340:978-3-662-10827-7 1314:978-1-4673-1137-3 1249:Charge trap flash 1213:charge trap flash 1160:Micron Technology 1035:system-in-package 995:system-in-package 824:Tohoku University 808:Tohoku University 744:A-to-D converters 675:Japan (1983–2005) 646:Texas Instruments 597:process variation 530:Lack of Standards 500:Design Complexity 432:electronic system 396:power consumption 208:Monolithic 3D ICs 99:system in package 6481: 6295:electrical power 6180:Gas-filled tubes 6064:Cavity magnetron 5891:Linear regulator 5440: 5439: 5418: 5411: 5404: 5395: 5394: 5044:Sequential logic 4971: 4964: 4957: 4948: 4947: 4932: 4931: 4879:Horizon scanning 4795:Ephemeralization 4711:Racetrack memory 4646:Extended reality 4641:Cybermethodology 4561: 4560: 4543: 4536: 4529: 4520: 4519: 4515: 4509: 4505: 4503: 4495: 4493: 4492: 4475: 4450:(7): 1051–1055. 4438: 4436: 4435: 4419: 4417: 4416: 4400: 4398: 4397: 4381: 4379: 4378: 4366: 4364: 4363: 4347: 4345: 4344: 4339: 4329: 4327: 4326: 4310: 4308: 4307: 4291: 4289: 4288: 4272: 4270: 4269: 4253: 4241: 4239: 4238: 4226: 4224: 4223: 4207: 4205: 4204: 4193: 4191: 4190: 4179: 4177: 4176: 4165: 4163: 4162: 4145: 4143: 4142: 4131: 4129: 4128: 4117: 4082: 4039: 4037: 4036: 4019: 4017: 4016: 3889: 3888: 3886: 3885: 3870: 3864: 3863: 3862:. 8 August 2019. 3852: 3846: 3845: 3843: 3841: 3824: 3818: 3817: 3815: 3813: 3796: 3790: 3789: 3787: 3785: 3768: 3762: 3761: 3749: 3743: 3742: 3739:news.samsung.com 3731: 3725: 3724: 3722: 3720: 3711:. Archived from 3703:"History: 2010s" 3699: 3690: 3689: 3667: 3656: 3655: 3653: 3651: 3634: 3628: 3627: 3625: 3623: 3608: 3602: 3601: 3599: 3597: 3580: 3574: 3573: 3571: 3569: 3548: 3542: 3541: 3539: 3537: 3528:. Archived from 3516: 3510: 3509: 3507: 3499: 3493: 3492: 3490: 3489: 3480:. Archived from 3473: 3467: 3466: 3464: 3463: 3448: 3442: 3441: 3439: 3438: 3426: 3420: 3417: 3411: 3410: 3408: 3406: 3394: 3388: 3387: 3376: 3370: 3369: 3367: 3365: 3351: 3345: 3344: 3316: 3310: 3309: 3302: 3296: 3293: 3287: 3286: 3252: 3237:Physics Procedia 3232: 3226: 3225: 3208:. 37–38: 39–47. 3201: 3195: 3194: 3192: 3190: 3175: 3169: 3168: 3142: 3136: 3135: 3116:10.1109/5.929647 3096: 3090: 3089: 3087: 3072: 3063: 3057: 3056: 3022: 3016: 3015: 2993: 2987: 2986: 2966: 2960: 2959: 2957: 2955: 2949: 2943:. Archived from 2932: 2923: 2914: 2913: 2889: 2883: 2882: 2858: 2852: 2851: 2827: 2821: 2820: 2795: 2789: 2788: 2764: 2758: 2757: 2729: 2720: 2707: 2706: 2696: 2690: 2689: 2665: 2659: 2658: 2614: 2608: 2607: 2605: 2604: 2598: 2592:. Archived from 2577: 2568: 2549: 2548: 2541: 2535: 2534: 2532: 2531: 2516: 2510: 2509: 2507: 2506: 2491: 2485: 2484: 2478: 2472: 2471: 2465: 2459: 2458: 2434: 2428: 2425: 2419: 2416: 2410: 2396: 2387: 2375: 2369: 2368: 2366: 2365: 2350: 2344: 2343: 2341: 2340: 2325: 2319: 2318: 2316: 2315: 2300: 2294: 2291: 2282: 2279: 2266: 2265: 2263: 2262: 2247: 2241: 2237: 2228: 2227: 2225: 2224: 2204: 2198: 2197: 2195: 2194: 2178: 2172: 2169: 2163: 2159: 2153: 2151: 2145: 2137: 2135: 2134: 2128: 2121: 2112: 2106: 2105: 2103: 2102: 2093:. Archived from 2086: 2080: 2078: 2072: 2064: 2062: 2061: 2055: 2048: 2039: 2033: 2031: 2029: 2028: 2019:. Archived from 2012: 2006: 2004: 2002: 2001: 1992:. Archived from 1985: 1979: 1978: 1976: 1975: 1966:. Archived from 1959: 1953: 1952: 1950: 1949: 1940:. Archived from 1933: 1927: 1925: 1923: 1922: 1913:. Archived from 1906: 1900: 1899: 1872:. pp. 1–4. 1860: 1854: 1853: 1851: 1850: 1834: 1828: 1827: 1800:. pp. 1–5. 1789: 1783: 1782: 1780: 1778: 1772: 1766:. Archived from 1753: 1744: 1729: 1728: 1701:. pp. 1–3. 1694: 1688: 1687: 1685: 1683: 1663: 1657: 1656: 1654: 1652: 1632: 1626: 1625: 1614: 1608: 1607: 1569: 1556: 1555: 1548: 1542: 1541: 1534: 1528: 1527: 1520: 1514: 1513: 1502: 1496: 1495: 1475: 1469: 1468: 1461: 1455: 1451: 1445: 1444: 1442: 1441: 1435: 1429:. Archived from 1428: 1420: 1414: 1400: 1387: 1386: 1375: 1369: 1368: 1366: 1359: 1351: 1345: 1344: 1325: 1319: 1318: 1299:. pp. 1–3. 1288: 1266:Multigate device 1222: 1218: 1181:(HBM), based on 1155: 1149: 1139: 1133: 1111: 1085: 1081: 1077: 1073: 1065: 1051: 1029:manufactured by 989:manufactured by 844:system-on-a-chip 840:Kaustav Banerjee 732:Osaka University 652:was proposed by 420:reverse engineer 411:Circuit Security 216:, which is then 187: 101:(3D SiP) and 3D 41:microelectronics 6489: 6488: 6484: 6483: 6482: 6480: 6479: 6478: 6444: 6443: 6442: 6437: 6375: 6290:audio and video 6275: 6242: 6174: 6111: 6039: 6020:Photomultiplier 5945: 5873: 5821:Quantum circuit 5729: 5723: 5665:Avalanche diode 5651: 5563: 5556: 5445: 5434: 5427: 5422: 5392: 5387: 5366: 5299: 5234:Place and route 5229:Logic synthesis 5215: 5211:Gate equivalent 5174:Logic synthesis 5169:Boolean algebra 5152: 5094:Macrocell array 5054:Boolean circuit 4980: 4975: 4945: 4940: 4920: 4759: 4570: 4567: 4566:Information and 4552: 4547: 4507: 4506: 4497: 4496: 4490: 4488: 4433: 4431: 4422: 4414: 4412: 4403: 4395: 4393: 4384: 4376: 4374: 4369: 4361: 4359: 4350: 4342: 4340: 4337: 4324: 4322: 4313: 4305: 4303: 4294: 4286: 4284: 4275: 4267: 4265: 4256: 4244: 4236: 4234: 4229: 4221: 4219: 4210: 4202: 4200: 4196: 4188: 4186: 4182: 4174: 4172: 4168: 4160: 4158: 4140: 4138: 4134: 4126: 4124: 4120: 4106: 4071: 4034: 4032: 4014: 4012: 4003: 3931: 3929:Further reading 3926: 3921:Wayback Machine 3897: 3892: 3883: 3881: 3871: 3867: 3854: 3853: 3849: 3839: 3837: 3825: 3821: 3811: 3809: 3797: 3793: 3783: 3781: 3769: 3765: 3750: 3746: 3733: 3732: 3728: 3718: 3716: 3701: 3700: 3693: 3686: 3668: 3659: 3649: 3647: 3636: 3635: 3631: 3621: 3619: 3618:. 7 August 2008 3610: 3609: 3605: 3595: 3593: 3582: 3581: 3577: 3567: 3565: 3550: 3549: 3545: 3535: 3533: 3532:on 3 April 2010 3518: 3517: 3513: 3505: 3501: 3500: 3496: 3487: 3485: 3476: 3474: 3470: 3461: 3459: 3450: 3449: 3445: 3436: 3434: 3427: 3423: 3418: 3414: 3404: 3402: 3395: 3391: 3378: 3377: 3373: 3363: 3361: 3353: 3352: 3348: 3317: 3313: 3304: 3303: 3299: 3294: 3290: 3233: 3229: 3202: 3198: 3188: 3186: 3176: 3172: 3165: 3143: 3139: 3097: 3093: 3085: 3070: 3064: 3060: 3045: 3023: 3019: 3012: 2994: 2990: 2967: 2963: 2953: 2951: 2947: 2930: 2924: 2917: 2890: 2886: 2859: 2855: 2828: 2824: 2796: 2792: 2765: 2761: 2754: 2727: 2721: 2710: 2697: 2693: 2666: 2662: 2625:(10): 366–368. 2615: 2611: 2602: 2600: 2596: 2590: 2575: 2569: 2552: 2543: 2542: 2538: 2529: 2527: 2517: 2513: 2504: 2502: 2493: 2492: 2488: 2480: 2479: 2475: 2467: 2466: 2462: 2455: 2435: 2431: 2426: 2422: 2417: 2413: 2407:Wayback Machine 2397: 2390: 2385:Wayback Machine 2376: 2372: 2363: 2361: 2352: 2351: 2347: 2338: 2336: 2327: 2326: 2322: 2313: 2311: 2302: 2301: 2297: 2292: 2285: 2280: 2269: 2260: 2258: 2253:. Eetasia.com. 2249: 2248: 2244: 2238: 2231: 2222: 2220: 2205: 2201: 2192: 2190: 2181: 2179: 2175: 2170: 2166: 2160: 2156: 2139: 2138: 2132: 2130: 2126: 2119: 2117:"Archived copy" 2115: 2113: 2109: 2100: 2098: 2089: 2087: 2083: 2066: 2065: 2059: 2057: 2053: 2046: 2044:"Archived copy" 2042: 2040: 2036: 2026: 2024: 2015: 2013: 2009: 1999: 1997: 1988: 1986: 1982: 1973: 1971: 1962: 1960: 1956: 1947: 1945: 1936: 1934: 1930: 1920: 1918: 1909: 1907: 1903: 1888: 1861: 1857: 1848: 1846: 1837: 1835: 1831: 1816: 1790: 1786: 1776: 1774: 1773:on 15 July 2019 1770: 1751: 1745: 1732: 1717: 1695: 1691: 1681: 1679: 1664: 1660: 1650: 1648: 1633: 1629: 1616: 1615: 1611: 1596: 1570: 1559: 1550: 1549: 1545: 1540:. 31 July 2023. 1536: 1535: 1531: 1522: 1521: 1517: 1504: 1503: 1499: 1492: 1476: 1472: 1467:. 31 July 2023. 1463: 1462: 1458: 1452: 1448: 1439: 1437: 1433: 1426: 1422: 1421: 1417: 1411:Wayback Machine 1401: 1390: 1377: 1376: 1372: 1364: 1357: 1353: 1352: 1348: 1341: 1327: 1326: 1322: 1315: 1289: 1285: 1281: 1257:(3D transistor) 1240: 1220: 1216: 1153: 1147: 1137: 1134:GB DDR3 SDRAM ( 1131: 1109: 1083: 1079: 1075: 1071: 1063: 1049: 965: 935:GlobalFoundries 883: 859: 752:shift registers 709:silicon nitride 677: 672: 630: 589:place-and-route 577: 555:or the product 475: 339: 259: 210: 185: 162: 87: 82: 45:nanoelectronics 17: 12: 11: 5: 6487: 6477: 6476: 6471: 6466: 6461: 6456: 6439: 6438: 6436: 6435: 6434: 6433: 6428: 6418: 6413: 6408: 6403: 6398: 6397: 6396: 6385: 6383: 6377: 6376: 6374: 6373: 6372: 6371: 6369:Wollaston wire 6361: 6356: 6351: 6346: 6341: 6336: 6335: 6334: 6329: 6319: 6314: 6309: 6304: 6303: 6302: 6297: 6292: 6283: 6281: 6277: 6276: 6274: 6273: 6268: 6263: 6262: 6261: 6250: 6248: 6244: 6243: 6241: 6240: 6235: 6230: 6225: 6220: 6215: 6210: 6205: 6200: 6195: 6190: 6184: 6182: 6176: 6175: 6173: 6172: 6167: 6162: 6157: 6152: 6150:Selectron tube 6147: 6142: 6140:Magic eye tube 6137: 6132: 6127: 6121: 6119: 6113: 6112: 6110: 6109: 6104: 6098: 6093: 6088: 6083: 6077: 6072: 6066: 6061: 6054: 6052: 6041: 6040: 6038: 6037: 6032: 6027: 6022: 6017: 6012: 6006: 6001: 5996: 5991: 5986: 5981: 5976: 5971: 5966: 5961: 5955: 5953: 5947: 5946: 5944: 5943: 5938: 5933: 5928: 5923: 5918: 5913: 5908: 5903: 5898: 5893: 5887: 5885: 5879: 5878: 5875: 5874: 5872: 5871: 5866: 5861: 5856: 5851: 5845: 5839: 5834: 5828: 5823: 5818: 5813: 5808: 5802: 5797: 5791: 5786: 5781: 5776: 5771: 5765: 5760: 5754: 5749: 5744: 5739: 5733: 5731: 5725: 5724: 5722: 5721: 5716: 5711: 5709:Schottky diode 5706: 5701: 5696: 5690: 5684: 5678: 5673: 5667: 5661: 5659: 5653: 5652: 5650: 5649: 5643: 5638: 5632: 5626: 5621: 5616: 5615: 5614: 5603: 5602: 5601: 5596: 5585: 5580: 5575: 5568: 5566: 5558: 5557: 5555: 5554: 5549: 5544: 5538: 5533: 5527: 5521: 5516: 5511: 5505: 5499: 5493: 5488: 5482: 5476: 5471: 5466: 5461: 5456: 5450: 5448: 5437: 5429: 5428: 5421: 5420: 5413: 5406: 5398: 5389: 5388: 5386: 5385: 5380: 5374: 5372: 5368: 5367: 5365: 5364: 5359: 5358: 5357: 5352: 5350:cinematography 5342: 5337: 5332: 5331: 5330: 5320: 5319: 5318: 5307: 5305: 5301: 5300: 5298: 5297: 5296: 5295: 5285: 5280: 5275: 5270: 5269: 5268: 5263: 5253: 5248: 5247: 5246: 5241: 5231: 5225: 5223: 5217: 5216: 5214: 5213: 5208: 5203: 5198: 5197: 5196: 5189:Digital signal 5186: 5181: 5176: 5171: 5166: 5164:Digital signal 5160: 5158: 5154: 5153: 5151: 5150: 5144: 5138: 5132: 5126: 5120: 5114: 5108: 5102: 5096: 5091: 5085: 5079: 5073: 5068: 5062: 5056: 5051: 5046: 5041: 5036: 5031: 5026: 5021: 5016: 5011: 5006: 5001: 4996: 4990: 4988: 4982: 4981: 4974: 4973: 4966: 4959: 4951: 4942: 4941: 4939: 4938: 4925: 4922: 4921: 4919: 4918: 4913: 4908: 4903: 4898: 4897: 4896: 4891: 4886: 4881: 4876: 4871: 4861: 4856: 4851: 4846: 4845: 4844: 4834: 4829: 4824: 4823: 4822: 4817: 4812: 4807: 4797: 4792: 4787: 4782: 4777: 4771: 4769: 4765: 4764: 4761: 4760: 4758: 4757: 4752: 4747: 4746: 4745: 4735: 4730: 4729: 4728: 4723: 4718: 4713: 4708: 4703: 4698: 4693: 4688: 4683: 4678: 4670: 4665: 4664: 4663: 4658: 4648: 4643: 4638: 4633: 4628: 4627: 4626: 4621: 4616: 4611: 4606: 4604:Machine vision 4601: 4596: 4586: 4585: 4584: 4573: 4571: 4568:communications 4564: 4558: 4554: 4553: 4546: 4545: 4538: 4531: 4523: 4517: 4516: 4476: 4439: 4420: 4401: 4382: 4367: 4348: 4330: 4311: 4292: 4273: 4254: 4252:on 2013-01-21. 4242: 4227: 4208: 4194: 4180: 4166: 4146: 4132: 4118: 4104: 4083: 4069: 4040: 4020: 4002: 4001:External links 3999: 3998: 3997: 3985: 3970: 3947: 3930: 3927: 3925: 3924: 3911: 3905: 3898: 3896: 3893: 3891: 3890: 3865: 3847: 3819: 3791: 3763: 3744: 3726: 3715:on 17 May 2021 3691: 3684: 3657: 3646:. 17 June 2010 3629: 3603: 3575: 3543: 3511: 3494: 3468: 3443: 3421: 3412: 3389: 3371: 3346: 3311: 3297: 3288: 3227: 3196: 3170: 3163: 3137: 3110:(5): 602–633. 3091: 3088:on 2019-03-04. 3058: 3043: 3017: 3010: 2988: 2961: 2950:on 16 May 2017 2915: 2884: 2853: 2822: 2790: 2759: 2752: 2708: 2691: 2660: 2609: 2588: 2550: 2536: 2511: 2486: 2473: 2460: 2453: 2429: 2420: 2411: 2388: 2370: 2345: 2320: 2295: 2283: 2267: 2242: 2229: 2199: 2185:. 2015-01-09. 2173: 2164: 2154: 2107: 2081: 2034: 2007: 1980: 1954: 1928: 1901: 1886: 1855: 1829: 1814: 1784: 1730: 1715: 1689: 1658: 1627: 1620:. 2014-08-27. 1609: 1594: 1557: 1543: 1529: 1515: 1497: 1490: 1470: 1456: 1446: 1415: 1388: 1370: 1346: 1339: 1320: 1313: 1282: 1280: 1277: 1276: 1275: 1269: 1263: 1258: 1252: 1246: 1239: 1236: 1173:Cut through a 1100:mobile devices 1094:solutions for 1037:chip with two 964: 961: 954: 953: 946: 882: 879: 858: 855: 846:(SoC) design. 832:microprocessor 772:optical sensor 676: 673: 671: 668: 638:Mohamed Atalla 629: 626: 625: 624: 607: 604: 584: 576: 573: 561: 560: 549: 546: 542: 539: 531: 528: 524: 521: 511: 508: 501: 498: 495: 492: 489: 486: 482: 474: 471: 453: 452: 440: 435: 424:system monitor 412: 409: 406: 403: 392: 389: 385: 382: 378: 375: 372: 369: 351: 338: 335: 334: 333: 298: 297:Wafer-to-Wafer 295: 287: 284: 280: 270:(HBM) and the 258: 255: 209: 206: 161: 158: 146:mobile devices 86: 83: 81: 78: 74:mobile devices 15: 9: 6: 4: 3: 2: 6486: 6475: 6472: 6470: 6467: 6465: 6462: 6460: 6457: 6455: 6452: 6451: 6449: 6432: 6431:mercury relay 6429: 6427: 6424: 6423: 6422: 6419: 6417: 6414: 6412: 6409: 6407: 6404: 6402: 6399: 6395: 6392: 6391: 6390: 6387: 6386: 6384: 6382: 6378: 6370: 6367: 6366: 6365: 6362: 6360: 6357: 6355: 6352: 6350: 6347: 6345: 6342: 6340: 6337: 6333: 6330: 6328: 6325: 6324: 6323: 6320: 6318: 6315: 6313: 6310: 6308: 6305: 6301: 6298: 6296: 6293: 6291: 6288: 6287: 6285: 6284: 6282: 6278: 6272: 6269: 6267: 6264: 6260: 6257: 6256: 6255: 6254:Potentiometer 6252: 6251: 6249: 6245: 6239: 6236: 6234: 6231: 6229: 6226: 6224: 6221: 6219: 6216: 6214: 6211: 6209: 6206: 6204: 6201: 6199: 6196: 6194: 6191: 6189: 6186: 6185: 6183: 6181: 6177: 6171: 6170:Williams tube 6168: 6166: 6163: 6161: 6158: 6156: 6153: 6151: 6148: 6146: 6143: 6141: 6138: 6136: 6133: 6131: 6128: 6126: 6123: 6122: 6120: 6118: 6114: 6108: 6105: 6102: 6099: 6097: 6094: 6092: 6089: 6087: 6084: 6081: 6078: 6076: 6073: 6070: 6067: 6065: 6062: 6059: 6056: 6055: 6053: 6050: 6046: 6042: 6036: 6033: 6031: 6028: 6026: 6023: 6021: 6018: 6016: 6013: 6010: 6007: 6005: 6002: 6000: 5997: 5995: 5992: 5990: 5989:Fleming valve 5987: 5985: 5982: 5980: 5977: 5975: 5972: 5970: 5967: 5965: 5962: 5960: 5957: 5956: 5954: 5952: 5948: 5942: 5939: 5937: 5934: 5932: 5929: 5927: 5924: 5922: 5919: 5917: 5914: 5912: 5909: 5907: 5904: 5902: 5899: 5897: 5894: 5892: 5889: 5888: 5886: 5884: 5880: 5870: 5867: 5865: 5862: 5860: 5857: 5855: 5852: 5849: 5846: 5843: 5840: 5838: 5835: 5832: 5829: 5827: 5824: 5822: 5819: 5817: 5816:Photodetector 5814: 5812: 5809: 5806: 5803: 5801: 5798: 5795: 5792: 5790: 5787: 5785: 5784:Memtransistor 5782: 5780: 5777: 5775: 5772: 5769: 5766: 5764: 5761: 5758: 5755: 5753: 5750: 5748: 5745: 5743: 5740: 5738: 5735: 5734: 5732: 5726: 5720: 5717: 5715: 5712: 5710: 5707: 5705: 5702: 5700: 5697: 5694: 5691: 5688: 5685: 5682: 5679: 5677: 5674: 5671: 5668: 5666: 5663: 5662: 5660: 5658: 5654: 5647: 5644: 5642: 5639: 5636: 5633: 5630: 5627: 5625: 5622: 5620: 5617: 5613: 5610: 5609: 5607: 5604: 5600: 5597: 5595: 5592: 5591: 5589: 5586: 5584: 5581: 5579: 5576: 5573: 5570: 5569: 5567: 5565: 5559: 5553: 5550: 5548: 5545: 5542: 5539: 5537: 5534: 5531: 5528: 5525: 5522: 5520: 5517: 5515: 5512: 5509: 5506: 5503: 5500: 5497: 5494: 5492: 5489: 5486: 5483: 5480: 5477: 5475: 5472: 5470: 5467: 5465: 5462: 5460: 5457: 5455: 5452: 5451: 5449: 5447: 5441: 5438: 5436: 5433:Semiconductor 5430: 5426: 5419: 5414: 5412: 5407: 5405: 5400: 5399: 5396: 5384: 5381: 5379: 5378:Metastability 5376: 5375: 5373: 5371:Design issues 5369: 5363: 5360: 5356: 5353: 5351: 5348: 5347: 5346: 5345:Digital video 5343: 5341: 5338: 5336: 5333: 5329: 5326: 5325: 5324: 5323:Digital audio 5321: 5317: 5314: 5313: 5312: 5309: 5308: 5306: 5302: 5294: 5291: 5290: 5289: 5286: 5284: 5281: 5279: 5276: 5274: 5271: 5267: 5264: 5262: 5259: 5258: 5257: 5254: 5252: 5249: 5245: 5242: 5240: 5237: 5236: 5235: 5232: 5230: 5227: 5226: 5224: 5222: 5218: 5212: 5209: 5207: 5204: 5202: 5199: 5195: 5192: 5191: 5190: 5187: 5185: 5182: 5180: 5177: 5175: 5172: 5170: 5167: 5165: 5162: 5161: 5159: 5155: 5148: 5145: 5142: 5139: 5136: 5133: 5130: 5127: 5124: 5121: 5118: 5115: 5112: 5109: 5106: 5103: 5100: 5097: 5095: 5092: 5089: 5086: 5083: 5080: 5077: 5074: 5072: 5069: 5066: 5063: 5060: 5057: 5055: 5052: 5050: 5047: 5045: 5042: 5040: 5037: 5035: 5032: 5030: 5027: 5025: 5022: 5020: 5017: 5015: 5012: 5010: 5007: 5005: 5002: 5000: 4997: 4995: 4992: 4991: 4989: 4987: 4983: 4979: 4972: 4967: 4965: 4960: 4958: 4953: 4952: 4949: 4937: 4936: 4927: 4926: 4923: 4917: 4916:Transhumanism 4914: 4912: 4909: 4907: 4904: 4902: 4899: 4895: 4892: 4890: 4887: 4885: 4882: 4880: 4877: 4875: 4872: 4870: 4867: 4866: 4865: 4862: 4860: 4857: 4855: 4852: 4850: 4847: 4843: 4840: 4839: 4838: 4835: 4833: 4830: 4828: 4825: 4821: 4818: 4816: 4813: 4811: 4808: 4806: 4803: 4802: 4801: 4798: 4796: 4793: 4791: 4788: 4786: 4783: 4781: 4778: 4776: 4773: 4772: 4770: 4766: 4756: 4753: 4751: 4748: 4744: 4743:Chipless RFID 4741: 4740: 4739: 4736: 4734: 4731: 4727: 4724: 4722: 4719: 4717: 4714: 4712: 4709: 4707: 4704: 4702: 4699: 4697: 4694: 4692: 4689: 4687: 4684: 4682: 4679: 4677: 4674: 4673: 4671: 4669: 4666: 4662: 4659: 4657: 4654: 4653: 4652: 4649: 4647: 4644: 4642: 4639: 4637: 4634: 4632: 4629: 4625: 4622: 4620: 4617: 4615: 4612: 4610: 4607: 4605: 4602: 4600: 4597: 4595: 4592: 4591: 4590: 4587: 4583: 4580: 4579: 4578: 4575: 4574: 4572: 4569: 4562: 4559: 4555: 4551: 4544: 4539: 4537: 4532: 4530: 4525: 4524: 4521: 4513: 4501: 4486: 4482: 4477: 4473: 4469: 4465: 4461: 4457: 4453: 4449: 4445: 4440: 4430:on 2007-11-06 4429: 4425: 4421: 4411:on 2008-02-12 4410: 4406: 4402: 4392:on 2010-03-04 4391: 4387: 4383: 4372: 4368: 4357: 4353: 4349: 4336: 4331: 4321:on 2013-01-22 4320: 4316: 4312: 4302:on 2013-08-19 4301: 4297: 4293: 4283:on 2013-08-18 4282: 4278: 4274: 4264:on 2008-05-15 4263: 4259: 4255: 4251: 4247: 4243: 4232: 4228: 4218:on 2008-12-03 4217: 4213: 4209: 4199: 4195: 4185: 4181: 4171: 4167: 4156: 4152: 4147: 4137: 4133: 4123: 4119: 4115: 4111: 4107: 4101: 4097: 4093: 4089: 4084: 4080: 4076: 4072: 4066: 4062: 4061:11250/2463188 4058: 4054: 4050: 4046: 4041: 4030: 4026: 4021: 4010: 4005: 4004: 3995: 3991: 3986: 3983: 3979: 3975: 3971: 3968: 3964: 3960: 3959:1-4419-0783-1 3956: 3952: 3948: 3945: 3941: 3937: 3933: 3932: 3922: 3918: 3915: 3912: 3909: 3906: 3903: 3900: 3899: 3880: 3876: 3873:Smith, Ryan. 3869: 3861: 3857: 3851: 3836: 3835: 3830: 3823: 3808: 3807: 3802: 3795: 3780: 3779: 3774: 3767: 3759: 3755: 3748: 3740: 3736: 3730: 3714: 3710: 3709: 3704: 3698: 3696: 3687: 3681: 3677: 3673: 3666: 3664: 3662: 3645: 3644: 3639: 3633: 3617: 3613: 3607: 3591: 3590: 3585: 3579: 3563: 3559: 3558: 3553: 3547: 3531: 3527: 3526: 3521: 3515: 3504: 3498: 3484:on 2015-03-08 3483: 3479: 3472: 3457: 3453: 3447: 3432: 3425: 3416: 3400: 3393: 3385: 3381: 3375: 3360: 3356: 3350: 3342: 3338: 3334: 3330: 3326: 3322: 3315: 3307: 3301: 3292: 3284: 3280: 3276: 3272: 3268: 3264: 3260: 3256: 3251: 3246: 3243:: 1009–1015. 3242: 3238: 3231: 3223: 3219: 3215: 3211: 3207: 3200: 3185: 3181: 3174: 3166: 3160: 3156: 3152: 3148: 3141: 3133: 3129: 3125: 3121: 3117: 3113: 3109: 3105: 3101: 3095: 3084: 3080: 3076: 3069: 3062: 3054: 3050: 3046: 3044:0-930815-59-9 3040: 3036: 3032: 3028: 3021: 3013: 3007: 3003: 2999: 2992: 2984: 2980: 2976: 2972: 2965: 2946: 2942: 2938: 2937: 2929: 2922: 2920: 2911: 2907: 2903: 2899: 2895: 2888: 2880: 2876: 2872: 2868: 2864: 2857: 2849: 2845: 2841: 2837: 2833: 2826: 2818: 2814: 2810: 2806: 2802: 2794: 2786: 2782: 2778: 2774: 2770: 2763: 2755: 2749: 2745: 2741: 2738:. p. 4. 2737: 2733: 2726: 2719: 2717: 2715: 2713: 2704: 2703: 2695: 2687: 2683: 2679: 2675: 2671: 2664: 2656: 2652: 2648: 2644: 2640: 2636: 2632: 2628: 2624: 2620: 2613: 2599:on 2021-10-23 2595: 2591: 2585: 2581: 2574: 2567: 2565: 2563: 2561: 2559: 2557: 2555: 2546: 2540: 2526: 2522: 2515: 2500: 2499:WikiChip Fuse 2496: 2490: 2483: 2477: 2470: 2464: 2456: 2450: 2446: 2442: 2441: 2433: 2424: 2415: 2408: 2404: 2401: 2395: 2393: 2386: 2382: 2379: 2374: 2360:on 2014-05-17 2359: 2355: 2349: 2334: 2330: 2324: 2309: 2305: 2299: 2290: 2288: 2278: 2276: 2274: 2272: 2256: 2252: 2246: 2236: 2234: 2219:on 2014-05-17 2218: 2214: 2210: 2203: 2188: 2184: 2177: 2168: 2158: 2149: 2143: 2125: 2118: 2111: 2097:on 2012-09-30 2096: 2092: 2085: 2076: 2070: 2052: 2045: 2038: 2023:on 2008-02-12 2022: 2018: 2011: 1996:on 2010-10-31 1995: 1991: 1984: 1970:on 2010-04-24 1969: 1965: 1958: 1944:on 2011-07-09 1943: 1939: 1932: 1917:on 2012-03-16 1916: 1912: 1905: 1897: 1893: 1889: 1883: 1879: 1875: 1871: 1867: 1859: 1844: 1840: 1833: 1825: 1821: 1817: 1811: 1807: 1803: 1799: 1795: 1788: 1769: 1765: 1761: 1757: 1750: 1743: 1741: 1739: 1737: 1735: 1726: 1722: 1718: 1712: 1708: 1704: 1700: 1693: 1677: 1673: 1669: 1662: 1646: 1642: 1638: 1631: 1623: 1619: 1613: 1605: 1601: 1597: 1591: 1587: 1583: 1579: 1575: 1568: 1566: 1564: 1562: 1554:. 2013-08-16. 1553: 1547: 1539: 1533: 1525: 1519: 1511: 1507: 1501: 1493: 1491:9789811372247 1487: 1483: 1482: 1474: 1466: 1460: 1450: 1436:on 2014-12-30 1432: 1425: 1419: 1412: 1408: 1405: 1399: 1397: 1395: 1393: 1384: 1380: 1374: 1363: 1356: 1350: 1342: 1336: 1332: 1331: 1324: 1316: 1310: 1306: 1302: 1298: 1294: 1287: 1283: 1273: 1270: 1267: 1264: 1262: 1259: 1256: 1253: 1250: 1247: 1245: 1242: 1241: 1235: 1233: 1228: 1225: 1214: 1210: 1205: 1203: 1199: 1195: 1191: 1184: 1180: 1176: 1175:graphics card 1171: 1167: 1165: 1161: 1157: 1145: 1141: 1130:introduced 16 1129: 1125: 1121: 1118: 1114: 1107: 1106:Elpida Memory 1103: 1101: 1097: 1093: 1089: 1069: 1061: 1058: 1054: 1046: 1044: 1040: 1036: 1032: 1028: 1024: 1020: 1016: 1012: 1008: 1004: 996: 992: 988: 985: 981: 977: 973: 969: 960: 959: 951: 947: 944: 940: 939: 938: 936: 931: 928: 923: 921: 916: 911: 908: 904: 899: 895: 893: 888: 878: 874: 870: 867: 863: 854: 852: 847: 845: 841: 837: 833: 829: 825: 820: 818: 813: 809: 805: 801: 796: 794: 790: 785: 779: 777: 773: 769: 765: 761: 757: 753: 749: 745: 741: 737: 733: 729: 724: 722: 718: 714: 710: 706: 702: 698: 694: 690: 689:wafer bonding 686: 682: 667: 665: 661: 657: 655: 651: 647: 643: 639: 635: 622: 617: 612: 608: 605: 602: 598: 594: 590: 585: 582: 581: 580: 575:Design styles 572: 571: 566: 565: 558: 554: 550: 547: 543: 540: 537: 532: 529: 525: 522: 519: 515: 512: 509: 506: 502: 499: 496: 493: 490: 487: 483: 480: 479: 478: 470: 469: 464: 463: 458: 457: 450: 445: 441: 439: 436: 433: 429: 425: 421: 417: 413: 410: 407: 404: 401: 397: 393: 390: 386: 383: 379: 376: 373: 370: 367: 364: 360: 356: 352: 349: 348: 347: 344: 331: 327: 322: 318: 314: 310: 306: 302: 299: 296: 292: 288: 285: 281: 278: 277: 276: 273: 269: 265: 254: 250: 246: 244: 240: 235: 231: 225: 223: 219: 215: 205: 203: 199: 195: 191: 183: 179: 175: 171: 167: 153: 149: 147: 143: 138: 136: 132: 127: 122: 119: 114: 112: 108: 104: 100: 96: 92: 77: 75: 71: 68: 64: 59: 57: 53: 48: 46: 42: 38: 34: 30: 26: 22: 6188:Cold cathode 6155:Storage tube 6045:Vacuum tubes 5994:Neutron tube 5969:Beam tetrode 5951:Vacuum tubes 5847: 5536:Power MOSFET 5304:Applications 5075: 4933: 4820:Robot ethics 4754: 4619:Semantic Web 4489:. Retrieved 4484: 4481:"Chapter 15" 4447: 4443: 4432:. Retrieved 4428:the original 4413:. Retrieved 4409:the original 4394:. Retrieved 4390:the original 4375:. Retrieved 4360:. Retrieved 4356:the original 4341:. Retrieved 4323:. Retrieved 4319:the original 4304:. Retrieved 4300:the original 4285:. Retrieved 4281:the original 4266:. Retrieved 4262:the original 4250:the original 4235:. Retrieved 4220:. Retrieved 4216:the original 4201:. Retrieved 4187:. Retrieved 4173:. Retrieved 4159:. Retrieved 4154: 4139:. Retrieved 4125:. Retrieved 4087: 4044: 4033:. Retrieved 4029:the original 4013:. Retrieved 3973: 3950: 3935: 3882:. Retrieved 3878: 3868: 3859: 3850: 3838:. Retrieved 3832: 3822: 3810:. Retrieved 3804: 3794: 3782:. Retrieved 3776: 3766: 3757: 3747: 3738: 3729: 3717:. Retrieved 3713:the original 3706: 3675: 3648:. Retrieved 3641: 3632: 3620:. Retrieved 3606: 3594:. Retrieved 3587: 3578: 3566:. Retrieved 3562:the original 3555: 3546: 3534:. Retrieved 3530:the original 3523: 3514: 3497: 3486:. Retrieved 3482:the original 3471: 3460:. Retrieved 3446: 3435:. Retrieved 3424: 3415: 3403:. Retrieved 3392: 3374: 3362:. Retrieved 3358: 3349: 3324: 3320: 3314: 3300: 3291: 3240: 3236: 3230: 3205: 3199: 3189:22 September 3187:. Retrieved 3183: 3173: 3150: 3140: 3107: 3103: 3094: 3083:the original 3078: 3074: 3061: 3026: 3020: 3001: 2991: 2974: 2964: 2952:. Retrieved 2945:the original 2934: 2893: 2887: 2862: 2856: 2831: 2825: 2800: 2793: 2768: 2762: 2731: 2701: 2694: 2669: 2663: 2622: 2618: 2612: 2601:. Retrieved 2594:the original 2579: 2539: 2528:. Retrieved 2524: 2514: 2503:. Retrieved 2501:. 2021-06-11 2498: 2489: 2476: 2463: 2439: 2432: 2423: 2414: 2373: 2362:. Retrieved 2358:the original 2348: 2337:. Retrieved 2323: 2312:. Retrieved 2308:the original 2298: 2259:. Retrieved 2245: 2221:. Retrieved 2217:the original 2212: 2202: 2191:. Retrieved 2176: 2167: 2157: 2131:. Retrieved 2110: 2099:. Retrieved 2095:the original 2084: 2058:. Retrieved 2037: 2025:. Retrieved 2021:the original 2010: 1998:. Retrieved 1994:the original 1983: 1972:. Retrieved 1968:the original 1957: 1946:. Retrieved 1942:the original 1931: 1919:. Retrieved 1915:the original 1904: 1869: 1858: 1847:. Retrieved 1832: 1797: 1787: 1775:. Retrieved 1768:the original 1755: 1698: 1692: 1680:. Retrieved 1671: 1661: 1649:. Retrieved 1640: 1630: 1612: 1577: 1546: 1532: 1518: 1509: 1500: 1484:. Springer. 1480: 1473: 1459: 1449: 1438:. Retrieved 1431:the original 1418: 1373: 1349: 1329: 1323: 1296: 1286: 1229: 1206: 1188: 1104: 1047: 1043:chip-on-chip 1015:PSP hardware 1000: 955: 932: 924: 912: 900: 896: 884: 875: 871: 860: 848: 821: 797: 780: 740:photosensors 725: 678: 658: 631: 578: 567: 563: 562: 476: 465: 459: 455: 454: 358: 340: 320: 286:Die-to-Wafer 260: 251: 247: 226: 211: 163: 139: 123: 115: 91:wire bonding 88: 70:flash memory 60: 49: 24: 20: 18: 6354:Transformer 6096:Sutton tube 5936:Charge pump 5789:Memory cell 5719:Zener diode 5681:Laser diode 5564:transistors 5446:transistors 5034:Memory cell 4884:Moore's law 4815:Neuroethics 4810:Cyberethics 4631:Atomtronics 4508:|work= 4487:. Wiley-VCH 3758:Extremetech 3589:Korea Times 3568:23 November 3327:(10): 534. 2865:: 599–602. 987:memory chip 849:In 2001, a 828:memory chip 681:1980s Japan 650:memory chip 536:interposers 449:memory wall 381:3D IC. 363:Moore's law 313:connections 6448:Categories 6426:reed relay 6416:Parametron 6349:Thermistor 6327:resettable 6286:Connector 6247:Adjustable 6223:Nixie tube 6193:Crossatron 6160:Trochotron 6135:Iconoscope 6130:Charactron 6107:X-ray tube 5979:Compactron 5959:Acorn tube 5916:Buck–boost 5837:Solaristor 5699:Photodiode 5676:Gunn diode 5672:(CLD, CRD) 5454:Transistor 5383:Runt pulse 5355:television 5049:Logic gate 4994:Transistor 4986:Components 4775:Automation 4491:2014-05-15 4434:2008-01-22 4415:2008-01-22 4396:2009-06-11 4377:2014-05-15 4362:2014-05-15 4343:2014-05-15 4325:2011-01-21 4306:2014-05-15 4287:2014-05-15 4268:2014-05-15 4237:2014-05-15 4222:2008-01-22 4203:2014-05-15 4189:2014-05-15 4175:2014-05-15 4161:2014-05-15 4141:2014-05-15 4127:2014-05-15 4105:1595932925 4035:2014-05-15 4015:2014-05-15 3895:References 3884:2022-08-03 3488:2012-04-02 3462:2014-05-15 3437:2014-05-15 3184:3D InCites 3164:0780370384 2603:2019-07-19 2530:2022-10-05 2505:2022-10-05 2364:2014-05-15 2339:2014-05-15 2314:2014-05-15 2261:2014-05-15 2223:2014-05-15 2193:2015-01-09 2133:2008-02-08 2101:2014-05-15 2060:2008-01-22 2027:2008-01-22 2000:2011-01-27 1974:2010-05-20 1948:2011-02-24 1921:2012-10-29 1849:2011-03-18 1672:3D InCites 1440:2014-12-30 1355:"SEMI.ORG" 1177:that uses 1098:memory in 1096:NAND flash 1060:NAND flash 1021:(embedded 862:Fraunhofer 760:Matsushita 750:(ALU) and 721:gate array 705:transistor 697:fabricated 545:suppliers. 518:floorplans 473:Challenges 456:Modularity 326:CMOS logic 279:Die-to-Die 144:memory in 142:NAND flash 118:interposer 56:transistor 6389:Capacitor 6233:Trigatron 6228:Thyratron 6218:Neon lamp 6145:Monoscope 6025:Phototube 6009:Pentagrid 5974:Barretter 5859:Trancitor 5854:Thyristor 5779:Memristor 5704:PIN diode 5481:(ChemFET) 5239:Placement 5029:Flip-flop 5009:Capacitor 4805:Bioethics 4691:Millipede 4510:ignored ( 4500:cite book 3834:AnandTech 3778:AnandTech 3405:10 August 3401:. EETimes 3275:1875-3892 3250:1202.6497 3157:: 552–7. 3124:0018-9219 3053:110397071 2896:: 95–96. 2879:114856400 2848:113995937 2736:Wiley-VCH 2647:0741-3106 2525:Packt Hub 1758:: 37–44. 1682:March 16, 1651:March 24, 1274:(3D NAND) 1150:GB DDR3 ( 1017:includes 907:Pentium 4 901:In 2004, 784:thin-film 778:and ALU. 726:In 1986, 642:Bell Labs 601:IP blocks 438:Bandwidth 357: :: 350:Footprint 137:devices. 95:flip chip 6411:Inductor 6381:Reactive 6359:Varistor 6339:Resistor 6317:Antifuse 6203:Ignitron 6198:Dekatron 6086:Klystron 6075:Gyrotron 6004:Nuvistor 5921:Split-pi 5807:(MOS IC) 5774:Memistor 5532:(MuGFET) 5526:(MOSFET) 5498:(FinFET) 5004:Inductor 4999:Resistor 4726:UltraRAM 4472:55824967 3917:Archived 3860:Engadget 3708:SK Hynix 3456:Archived 3384:Archived 3341:98300746 3283:91179768 3222:22232571 2983:62780117 2936:SEMATECH 2910:27465273 2817:12936958 2785:10393330 2686:11689645 2655:35184408 2403:Archived 2381:Archived 2333:Archived 2255:Archived 2187:Archived 2142:cite web 2124:Archived 2069:cite web 2051:Archived 1896:35980364 1843:Archived 1824:11139525 1725:30235970 1676:Archived 1645:Archived 1622:Archived 1604:42565898 1407:Archived 1383:Archived 1362:Archived 1268:(MuGFET) 1238:See also 1128:SK Hynix 1057:embedded 1033:in a 3D 993:in a 3D 793:NMOS FET 764:parallel 683:, where 451:problem. 428:firewall 337:Benefits 241:under a 6474:MOSFETs 6312:Ferrite 6280:Passive 6271:Varicap 6259:digital 6208:Krytron 6030:Tetrode 6015:Pentode 5869:Varicap 5850:(3D IC) 5826:RF CMOS 5730:devices 5504:(FGMOS) 5435:devices 5244:Routing 5078:(3D IC) 4672:Memory 4452:Bibcode 4114:7818893 4079:1239311 3840:27 June 3812:23 June 3784:23 June 3650:21 June 3643:Toshiba 3622:21 June 3616:Toshiba 3557:Toshiba 3536:3 April 3525:Toshiba 3364:19 July 3255:Bibcode 3132:5786126 2627:Bibcode 1777:15 July 1764:2514964 1031:Toshiba 991:Toshiba 918:in the 866:Siemens 851:Toshiba 804:Hitachi 742:, CMOS 693:Fujitsu 628:History 611:netlist 523:Testing 294:dicing. 230:ion-cut 160:3D SiCs 131:Samsung 72:and in 52:package 27:) is a 6344:Switch 6035:Triode 5999:Nonode 5964:Audion 5844:(SITh) 5728:Other 5695:(OLED) 5657:Diodes 5608:(LET) 5590:(FET) 5562:Other 5510:(IGBT) 5487:(CMOS) 5474:BioFET 5469:BiCMOS 5221:Design 5157:Theory 5143:(ASIC) 5137:(FPOA) 5131:(FPGA) 5125:(CPLD) 5090:(EPLD) 4800:Ethics 4768:Topics 4557:Fields 4470:  4112:  4102:  4077:  4067:  3992:  3980:  3965:  3957:  3942:  3719:8 July 3682:  3596:8 July 3339:  3306:"HOME" 3281:  3273:  3220:  3161:  3153:(51). 3130:  3122:  3051:  3041:  3008:  2981:  2954:16 May 2908:  2877:  2846:  2815:  2783:  2750:  2684:  2653:  2645:  2586:  2451:  1894:  1884:  1822:  1812:  1762:  1723:  1713:  1602:  1592:  1488:  1337:  1311:  1272:V-NAND 1261:MOSFET 1255:FinFET 1221:  1217:  1209:V-NAND 1154:  1148:  1138:  1132:  1110:  1084:  1080:  1076:  1072:  1064:  1055:THGAM 1050:  1027:memory 1009:(PSP) 978:(PSP) 776:memory 593:tested 507:tools. 405:Design 186:  135:V-NAND 133:'s 3D 6421:Relay 6394:types 6332:eFUSE 6103:(TWT) 6091:Maser 6082:(IOT) 6071:(CFA) 6060:(BWO) 5984:Diode 5931:SEPIC 5911:Boost 5864:TRIAC 5833:(SCR) 5796:(MOV) 5770:(LEC) 5689:(LED) 5648:(UJT) 5637:(SIT) 5631:(PUT) 5574:(BJT) 5543:(TFT) 5519:LDMOS 5514:ISFET 5328:radio 5149:(TPU) 5119:(GAL) 5113:(PAL) 5107:(PLD) 5101:(PLA) 5084:(ECL) 5067:(HIC) 4721:SONOS 4681:ECRAM 4676:CBRAM 4668:GPGPU 4468:S2CID 4338:(PDF) 4110:S2CID 4075:S2CID 3506:(PDF) 3337:S2CID 3279:S2CID 3245:arXiv 3218:S2CID 3128:S2CID 3086:(PDF) 3071:(PDF) 3049:S2CID 2979:S2CID 2948:(PDF) 2931:(PDF) 2906:S2CID 2875:S2CID 2844:S2CID 2813:S2CID 2781:S2CID 2728:(PDF) 2682:S2CID 2651:S2CID 2597:(PDF) 2576:(PDF) 2162:2010. 2127:(PDF) 2120:(PDF) 2054:(PDF) 2047:(PDF) 1892:S2CID 1820:S2CID 1771:(PDF) 1760:S2CID 1752:(PDF) 1721:S2CID 1600:S2CID 1434:(PDF) 1427:(PDF) 1365:(PDF) 1358:(PDF) 1279:Notes 1251:(CTF) 1232:Zen 4 1120:SDRAM 1068:Hynix 1019:eDRAM 984:eDRAM 903:Intel 892:DARPA 664:Intel 488:Yield 444:buses 391:Power 309:diced 243:DARPA 218:diced 190:SDRAM 174:JEDEC 116:2.5D 80:Types 25:3D IC 6364:Wire 6322:Fuse 5906:Buck 5759:(IC) 5747:DIAC 5683:(LD) 5552:UMOS 5547:VMOS 5464:PMOS 5459:NMOS 5444:MOS 5061:(IC) 4935:List 4738:RFID 4716:RRAM 4706:PRAM 4701:NRAM 4696:MRAM 4686:FRAM 4512:help 4100:ISBN 4065:ISBN 3990:ISBN 3978:ISBN 3963:ISBN 3955:ISBN 3940:ISBN 3842:2019 3814:2019 3786:2019 3721:2019 3680:ISBN 3652:2019 3624:2019 3598:2019 3570:2010 3538:2010 3407:2020 3366:2019 3271:ISSN 3191:2019 3159:ISBN 3120:ISSN 3039:ISBN 3006:ISBN 2956:2017 2748:ISBN 2643:ISSN 2584:ISBN 2449:ISBN 2240:2009 2148:link 2075:link 1882:ISBN 1810:ISBN 1779:2019 1711:ISBN 1684:2015 1653:2014 1590:ISBN 1486:ISBN 1335:ISBN 1309:ISBN 1202:HBM2 1124:TSMC 1117:DDR3 1090:and 1039:dies 1023:DRAM 1003:Sony 972:Sony 920:SRAM 913:The 864:and 711:and 557:OEMs 514:TSVs 494:Heat 481:Cost 371:Cost 343:CMOS 330:DRAM 291:dice 194:DDR4 178:DRAM 93:and 67:NAND 43:and 5926:Ćuk 4460:doi 4092:doi 4057:hdl 4049:doi 3329:doi 3263:doi 3210:doi 3112:doi 3031:doi 2898:doi 2867:doi 2836:doi 2805:doi 2773:doi 2740:doi 2674:doi 2635:doi 1874:doi 1802:doi 1703:doi 1582:doi 1301:doi 1194:AMD 1005:'s 974:'s 887:MIT 812:LSI 789:die 756:NEC 660:Arm 654:NEC 640:at 553:ASE 505:CAD 328:or 188:GB 170:CPU 29:MOS 6450:: 6300:RF 6049:RF 4504:: 4502:}} 4498:{{ 4483:. 4466:. 4458:. 4448:16 4446:. 4153:. 4108:. 4098:. 4073:. 4063:. 4055:. 3961:, 3877:. 3858:. 3831:. 3803:. 3775:. 3756:. 3737:. 3705:. 3694:^ 3674:. 3660:^ 3640:. 3614:. 3586:. 3554:. 3522:. 3382:. 3357:. 3335:. 3323:. 3277:. 3269:. 3261:. 3253:. 3241:37 3239:. 3216:. 3182:. 3149:. 3126:. 3118:. 3108:89 3106:. 3077:. 3073:. 3047:. 3037:. 3000:. 2973:. 2939:. 2933:. 2918:^ 2904:. 2873:. 2842:. 2811:. 2779:. 2746:. 2734:. 2730:. 2711:^ 2680:. 2649:. 2641:. 2633:. 2621:. 2578:. 2553:^ 2523:. 2497:. 2443:. 2391:^ 2286:^ 2270:^ 2232:^ 2211:. 2144:}} 2140:{{ 2122:. 2071:}} 2067:{{ 2049:. 1890:. 1880:. 1868:. 1841:. 1818:. 1808:. 1796:. 1754:. 1733:^ 1719:. 1709:. 1674:. 1670:. 1643:. 1639:. 1598:. 1588:. 1576:. 1560:^ 1508:. 1391:^ 1381:. 1360:. 1307:. 1295:. 1224:TB 1156:nm 1152:30 1140:nm 1136:40 1113:GB 1102:. 1053:GB 1025:) 746:, 204:. 200:, 148:. 76:. 47:. 19:A 6051:) 6047:( 5417:e 5410:t 5403:v 4970:e 4963:t 4956:v 4542:e 4535:t 4528:v 4514:) 4494:. 4474:. 4462:: 4454:: 4437:. 4418:. 4399:. 4380:. 4365:. 4346:. 4328:. 4309:. 4290:. 4271:. 4240:. 4225:. 4206:. 4192:. 4178:. 4164:. 4144:. 4130:. 4116:. 4094:: 4081:. 4059:: 4051:: 4038:. 4018:. 3996:. 3984:. 3946:. 3887:. 3844:. 3816:. 3788:. 3760:. 3741:. 3723:. 3688:. 3654:. 3626:. 3600:. 3572:. 3540:. 3508:. 3491:. 3465:. 3440:. 3409:. 3368:. 3343:. 3331:: 3325:2 3308:. 3285:. 3265:: 3257:: 3247:: 3224:. 3212:: 3193:. 3167:. 3134:. 3114:: 3079:5 3055:. 3033:: 3014:. 2985:. 2958:. 2912:. 2900:: 2881:. 2869:: 2850:. 2838:: 2819:. 2807:: 2787:. 2775:: 2756:. 2742:: 2688:. 2676:: 2657:. 2637:: 2629:: 2623:4 2606:. 2533:. 2508:. 2457:. 2367:. 2342:. 2317:. 2264:. 2226:. 2196:. 2150:) 2136:. 2104:. 2077:) 2063:. 2030:. 2003:. 1977:. 1951:. 1926:, 1924:. 1898:. 1876:: 1852:. 1826:. 1804:: 1781:. 1727:. 1705:: 1686:. 1655:. 1606:. 1584:: 1512:. 1494:. 1443:. 1343:. 1317:. 1303:: 997:. 952:. 945:. 559:. 368:: 321:N 23:(

Index

MOS
integrated circuit
through-silicon vias
microelectronics
nanoelectronics
package
transistor
International Technology Roadmap for Semiconductors
NAND
flash memory
mobile devices
wire bonding
flip chip
system in package
wafer level package
package on package
redistribution layers
interposer
advanced packaging
Samsung
V-NAND
NAND flash
mobile devices

semiconductor memory
CPU
JEDEC
DRAM
Samsung Electronics
SDRAM

Text is available under the Creative Commons Attribution-ShareAlike License. Additional terms may apply.