Knowledge

Category:Electronic design automation

Source 📝

20: 398: 157: 931: 415: 319: 409: 447: 359: 201: 570: 339: 722: 811: 707: 238: 184: 598: 225: 826: 383: 474: 836: 769: 910: 479: 302: 816: 781: 806: 697: 680: 442: 951: 484: 432: 258: 38: 139: 136: 133: 130: 127: 124: 121: 118: 115: 112: 109: 106: 103: 100: 97: 94: 91: 88: 85: 82: 79: 76: 73: 70: 67: 64: 59: 646: 946: 893: 278: 905: 732: 575: 171: 747: 742: 737: 516: 457: 759: 619: 548: 511: 717: 631: 538: 501: 316: 641: 543: 533: 8: 861: 841: 506: 198: 856: 604: 25: 922: 796: 663: 883: 831: 821: 801: 609: 560: 437: 45: 888: 702: 614: 343: 262: 229: 222: 205: 188: 175: 33: 764: 380: 363: 282: 242: 878: 866: 851: 469: 387: 306: 54: 323: 940: 846: 592: 727: 668: 587: 452: 427: 299: 636: 565: 712: 791: 528: 154:
This category has the following 12 subcategories, out of 14 total.
19: 658: 408:
The following 80 pages are in this category, out of 99 total.
786: 692: 489: 15: 938: 405:Pages in category "Electronic design automation" 448:Electronic system-level design and verification 47: 571:Input/output Buffer Information Specification 723:Potential applications of carbon nanotubes 320:Electronic design automation organizations 812:Semiconductor intellectual property core 708:Placement (electronic design automation) 410:This list may not reflect recent changes 599:List of electrical engineering software 939: 827:Signoff (electronic design automation) 360:Satisfiability modulo theories solvers 202:Electronic design automation software 475:Feedback-controlled electromigration 149: 837:Silvaco Data Systems v. Intel Corp. 770:Resolution enhancement technologies 340:Electronic design automation people 13: 911:Universal Verification Methodology 419: 161: 148: 14: 963: 817:Semiconductor process simulation 18: 239:Hardware verification languages 185:Electronic circuit verification 782:Satisfiability modulo theories 226:Hardware description languages 1: 807:Semiconductor device modeling 698:Physical design (electronics) 681:Open Verification Methodology 480:Fiduccia–Mattheyses algorithm 443:Electronic circuit simulation 384:Timing in electronic circuits 485:Floorplan (microelectronics) 433:Electromagnetic field solver 403: 39:Electronic design automation 7: 647:Multi-project wafer service 10: 968: 894:Transaction-level modeling 32:The main article for this 31: 906:Ultra-large-scale systems 733:Power network design (IC) 576:Integrated circuit layout 748:Programmable logic array 743:Programmable Array Logic 738:Power optimization (EDA) 517:Graphics processing unit 458:Engineering change order 303:Electronics optimization 760:Register-transfer level 620:Low-power FSM synthesis 549:High-level verification 512:Graphical system design 718:Platform-based design 632:Mask data preparation 539:Hardware watermarking 642:Multi-channel length 544:High-level synthesis 534:Hardware obfuscation 952:Digital electronics 862:Symbolic simulation 842:Simulation software 507:Generic Array Logic 259:IEEE DASC standards 857:Substrate coupling 605:Logic optimization 26:Electronics portal 947:Electronic design 923:VISC architecture 797:Schematic capture 664:Network on a chip 502:Gajski–Kuhn chart 279:Network on a chip 959: 884:Test compression 832:Silicon compiler 822:Signal integrity 802:Schematic editor 765:Rent's rule 610:Logic simulation 601: 561:IC layout editor 438:Electromigration 389: 382: 365: 345: 325: 318: 308: 301: 284: 264: 244: 231: 224: 207: 200: 190: 177: 172:EDA file formats 28: 23: 22: 967: 966: 962: 961: 960: 958: 957: 956: 937: 936: 935: 929: 928: 927: 915: 898: 889:Touchstone file 871: 774: 752: 703:Place and route 685: 673: 651: 624: 615:Logic synthesis 597: 580: 553: 521: 494: 462: 402: 396: 395: 394: 391: 390: 379: 370: 367: 366: 350: 347: 346: 330: 327: 326: 315: 310: 309: 298: 289: 286: 285: 269: 266: 265: 249: 246: 245: 233: 232: 221: 212: 209: 208: 197: 192: 191: 179: 178: 147: 146: 145: 144: 50: 43: 24: 17: 12: 11: 5: 965: 955: 954: 949: 934:) (next page) 926: 925: 919: 916: 914: 913: 908: 902: 899: 897: 896: 891: 886: 881: 879:Technology CAD 875: 872: 870: 869: 867:Systems design 864: 859: 854: 852:Stuck-at fault 849: 844: 839: 834: 829: 824: 819: 814: 809: 804: 799: 794: 789: 784: 778: 775: 773: 772: 767: 762: 756: 753: 751: 750: 745: 740: 735: 730: 725: 720: 715: 710: 705: 700: 695: 689: 686: 684: 683: 677: 674: 672: 671: 666: 661: 655: 652: 650: 649: 644: 639: 634: 628: 625: 623: 622: 617: 612: 607: 602: 595: 590: 584: 581: 579: 578: 573: 568: 563: 557: 554: 552: 551: 546: 541: 536: 531: 525: 522: 520: 519: 514: 509: 504: 498: 495: 493: 492: 487: 482: 477: 472: 470:Fault coverage 466: 463: 461: 460: 455: 450: 445: 440: 435: 430: 424: 421: 420: 406: 401:) (next page) 393: 392: 378: 377: 374: 371: 369: 368: 358: 357: 354: 351: 349: 348: 338: 337: 334: 331: 329: 328: 314: 313: 311: 297: 296: 293: 290: 288: 287: 277: 276: 273: 270: 268: 267: 257: 256: 253: 250: 248: 247: 237: 236: 234: 220: 219: 216: 213: 211: 210: 196: 195: 193: 183: 182: 180: 170: 169: 166: 163: 162: 152: 143: 142: 62: 57: 51: 49: 46: 44: 30: 29: 9: 6: 4: 3: 2: 964: 953: 950: 948: 945: 944: 942: 933: 932:previous page 924: 921: 920: 917: 912: 909: 907: 904: 903: 900: 895: 892: 890: 887: 885: 882: 880: 877: 876: 873: 868: 865: 863: 860: 858: 855: 853: 850: 848: 847:Standard cell 845: 843: 840: 838: 835: 833: 830: 828: 825: 823: 820: 818: 815: 813: 810: 808: 805: 803: 800: 798: 795: 793: 790: 788: 785: 783: 780: 779: 776: 771: 768: 766: 763: 761: 758: 757: 754: 749: 746: 744: 741: 739: 736: 734: 731: 729: 726: 724: 721: 719: 716: 714: 711: 709: 706: 704: 701: 699: 696: 694: 691: 690: 687: 682: 679: 678: 675: 670: 667: 665: 662: 660: 657: 656: 653: 648: 645: 643: 640: 638: 635: 633: 630: 629: 626: 621: 618: 616: 613: 611: 608: 606: 603: 600: 596: 594: 593:Lee algorithm 591: 589: 586: 585: 582: 577: 574: 572: 569: 567: 564: 562: 559: 558: 555: 550: 547: 545: 542: 540: 537: 535: 532: 530: 527: 526: 523: 518: 515: 513: 510: 508: 505: 503: 500: 499: 496: 491: 488: 486: 483: 481: 478: 476: 473: 471: 468: 467: 464: 459: 456: 454: 451: 449: 446: 444: 441: 439: 436: 434: 431: 429: 426: 425: 422: 418:) (next page) 417: 416:previous page 413: 411: 404: 400: 399:previous page 385: 381: 376: 375: 372: 361: 356: 355: 352: 341: 336: 335: 332: 321: 317: 312: 304: 300: 295: 294: 291: 280: 275: 274: 271: 260: 255: 254: 251: 240: 235: 227: 223: 218: 217: 214: 203: 199: 194: 186: 181: 173: 168: 167: 164: 160:) (next page) 159: 158:previous page 155: 151:Subcategories 150: 141: 138: 135: 132: 129: 126: 123: 120: 117: 114: 111: 108: 105: 102: 99: 96: 93: 90: 87: 84: 81: 78: 75: 72: 69: 66: 63: 61: 58: 56: 53: 52: 41: 40: 35: 27: 21: 16: 728:Power gating 669:Noise margin 588:Ladder logic 453:Elmore delay 428:EDA database 407: 153: 37: 637:Maze runner 566:IEC 61131-3 388:(1 C, 13 P) 307:(1 C, 20 P) 230:(2 C, 40 P) 206:(4 C, 56 P) 941:Categories 713:Planar SAT 324:(1 C, 2 P) 792:Schematic 48:Contents 529:Handel-C 34:category 659:Netlist 344:(38 P) 263:(10 P) 189:(19 P) 176:(14 P) 787:SCALD 693:PBIST 490:FpgaC 364:(3 P) 283:(4 P) 243:(9 P) 60:0–9 55:Top 36:is 943:: 412:. 386:‎ 362:‎ 342:‎ 322:‎ 305:‎ 281:‎ 261:‎ 241:‎ 228:‎ 204:‎ 187:‎ 174:‎ 930:( 918:V 901:U 874:T 777:S 755:R 688:P 676:O 654:N 627:M 583:L 556:I 524:H 497:G 465:F 423:E 414:( 397:( 373:T 353:S 333:P 292:O 272:N 252:I 215:H 165:E 156:( 140:Z 137:Y 134:X 131:W 128:V 125:U 122:T 119:S 116:R 113:Q 110:P 107:O 104:N 101:M 98:L 95:K 92:J 89:I 86:H 83:G 80:F 77:E 74:D 71:C 68:B 65:A 42:.

Index

icon
Electronics portal
category
Electronic design automation
Top
0–9
A
B
C
D
E
F
G
H
I
J
K
L
M
N
O
P
Q
R
S
T
U
V
W
X

Text is available under the Creative Commons Attribution-ShareAlike License. Additional terms may apply.