Knowledge

10 nm process

Source 📝

1256:
process as having a 64 nm transistor gate pitch and 42 nm interconnect pitch. Further investigation by Tech Insights revealed even these values to also be false, and they have been updated accordingly. In addition, the transistor fin height of Samsung's "10 nm" process was updated by MSSCORPS CO at SEMICON Taiwan 2017. GlobalFoundries decided not to develop a "10 nm" node, because it believed it would be short lived. Samsung's "8 nm" process was at that time the company's last to exclusively use DUV lithography.
55: 1247: 1255:
Transistor gate pitch is also referred to as CPP (contacted poly pitch) and interconnect pitch is also referred to as MMP (minimum metal pitch). Samsung reported their "10 nm" process as having a 64 nm transistor gate pitch and 48 nm interconnect pitch. TSMC reported their "10 nm"
599:
In April 2018, Intel announced a delay in volume production of "10 nm" mainstream CPUs until sometime in 2019. In July, the exact time was further pinned down to the holiday season. In the meantime, however, they did release a low-power "10 nm" mobile chip, albeit exclusive to Chinese
603:
In June 2018 at VLSI 2018, Samsung announced their "11LPP" and "8LPP" processes. "11LPP" was a hybrid based on Samsung "14 nm" and "10 nm" technology. "11LPP" was based on their "10 nm" BEOL, not their "20 nm" BEOL like the "14LPP". "8LPP" was based on the "10LPP" process.
906: 405:
Since at least 1997, "process nodes" have been named purely on a marketing basis, and have no relation to the dimensions on the integrated circuit; neither gate length, metal pitch or gate pitch on a "10nm" device is ten nanometers. For example,
1295:
Beyond 1z Samsung named its next node (fourth generation "10 nm class") DRAM : "D1a" (expected at that time to have been produced in 2021), and beyond that "D1b" (expected at that time to have been produced in 2022); whilst
418:. The transistor density (number of transistors per square millimetre) is more important than transistor size, since smaller transistors no longer necessarily mean improved performance, or an increase in the number of transistors. 1281:. As of 2020, there were three generations of "10 nm class" DRAM : 1x nm (19-17 nm, Gen1); 1y nm (16-14 nm, Gen2); and 1z nm (13-11 nm, Gen3). 3rd Generation "1z" DRAM was first introduced 468:
The ITRS's original naming of this technology node was "11 nm". According to the 2007 edition of the roadmap, by the year 2022, the half-pitch (i.e., half the distance between identical features in an array) for a
2348: 1242:{\displaystyle {\rm {No.\ Transistors/mm^{2}=0.6\cdot {\frac {\rm {NAND2\ Tr\ Count}}{\rm {NAND2\ Cell\ Area}}}+0.4\cdot {\frac {\rm {Scan\ Flip\ Flop\ Tr\ Count}}{\rm {Scan\ Flip\ Flop\ Cell\ Area}}}}}} 1270:
For the DRAM industry, the term "10 nm-class" is often used and this dimension generally refers to the half-pitch of the active area. The "10 nm" foundry structures are generally much larger.
611:
GPUs in September 2020. They were at that time made on a custom version of Samsung's "8 nm" process, called "Samsung 8N", with a transistor density of 44.56 million transistors per mm.
414:" processes are dimensionally similar to Intel's "10 nm" process. TSMC and Samsung's "10 nm" processes are somewhere between Intel's "14 nm" and "10 nm" processes in 2034: 1885: 366: 1673: 1519: 1593: 1577: 1357: 1545: 2174: 596:, a 64-bit ARM-based system on a chip, manufactured by TSMC using a "10 nm" FinFET process, containing 4.3 billion transistors on a die of 87.66 mm. 2243: 556:
nm-class" process, which, according to Tom's Hardware, Samsung defined as "a process technology node somewhere between 10-nm and 20-nm". On 17 October 2016,
384: 359: 1292:, and was initially stated to be produced using ArF lithography without the use of EUV lithography; subsequent production did utilise EUV lithography. 1443: 2293: 2259: 352: 2226: 2073: 2189: 2138: 2124: 1725: 532:
There is also a distinction to be made between "10 nm" as marketed by foundries and "10 nm" as marketed by DRAM companies.
1465: 2099: 1681: 581:
smartphone, which used the company's version of the "10 nm" processor. On 12 June 2017, Apple delivered second-generation
1523: 1331: 484:, at the time serving as Intel's Chief Technology Officer, said that Intel saw a 'clear way' towards the "10 nm" node. 2059: 67: 1703: 1555: 1845: 1925: 1800: 1300:
referred to succeeding "nodes" as "D1α" and "D1β". Micron announced volume shipment of 1α class DRAM in early 2021.
2321: 1417: 574:
began commercial production of "10 nm" chips in early 2016, before moving onto mass production in early 2017.
42: 1860: 1747: 1491: 861: 2208: 2015: 1996: 2276: 1439: 1265: 470: 17: 1900: 1951: 1274:
Generally "10 nm class" refers to DRAM with a 10-19 nm feature size, and was first introduced
1594:"Samsung Starts Industry's First Mass Production of System-on-Chip with 10-Nanometer FinFET Technology" 1394: 299: 1769: 1579:
Samsung Starts Industry's First Mass Production of System-on-Chip with 10-Nanometer FinFET Technology
380: 1846:"NVIDIA GeForce RTX 30 Ampere GPU Deep-Dive, Full Specs, Thermals, Power & Performance Detailed" 1633: 1376: 1977: 1377:"Exclusive: Is Intel Really Starting To Lose Its Process Lead? 7nm Node Slated For Release in 2022" 1827: 2245:
Samsung Develops Industry's First 3rd-generation 10nm-Class DRAM for Premium Memory Applications
2077: 2074:"Intel Details Cannonlake's Advanced 10nm FinFET Node, Claims Full Generation Lead Over Rivals" 1358:"14nm, 7nm, 5nm: How low can CMOS go? It depends if you ask the engineers or the economists..." 334: 505:
As of 2018, "10 nm" as it was generally understood was only in high-volume production at
309: 1828:"Nvidia confirms Samsung 8nm process for RTX 3090, RTX 3080, and RTX 3070 | PC Gamer" 1611: 8: 634: 565: 557: 521:
had considered "10 nm" to be a short-lived node, mainly dedicated to processors for
1997:"Samsung Starts Mass Production of Chips Using 10nm Low Power Plus (10LPP) Process Tech" 1316: 2100:"International Technology Roadmap for Semiconductors 2.0 2015 Edition Executive Report" 1492:"Samsung's new 10nm-process 64GB mobile flash memory chips are smaller, faster, better" 564:
chips at "10 nm". The technology's main announced challenge at that time had been
415: 1704:"Intel Corp. Delays 10nm Chip Production - Mass production is now scheduled for 2019" 1550: 1297: 608: 426: 2155: 117: 1658: 561: 545: 441: 324: 313: 294: 2261:
Samsung Announces Industry's First EUV DRAM with Shipment of First Million Modules
517:
had not yet started high-volume "10 nm" production, due to yield issues, and
90: 81: 541: 510: 407: 395: 339: 126: 108: 99: 319: 162: 156: 150: 144: 138: 132: 2342: 2311: 1395:"Life at 10nm. (Or is it 7nm?) And 3nm - Views on Advanced Silicon Platforms" 481: 440:
first started their production of "10 nm-class" chips in 2013 for their
399: 329: 225: 216: 207: 198: 189: 180: 171: 28: 2330: 892: 549: 495: 445: 279: 261: 252: 243: 2227:"Samsung Develops Smaller DDR4 Dies Using 3rd Gen 10nm-Class Process Tech" 1361: 1413: 870: 586: 522: 62: 448:
chips, followed by their SoCs using their 10 nm process in 2016.
2349:
International Technology Roadmap for Semiconductors lithography nodes
593: 578: 474: 2016:"Samsung Foundry Updates: 8LPU Added, EUVL on Track for HVM in 2019" 2190:"Samsung's 8LPP Process Technology Qualified, Ready for Production" 1496: 582: 54: 1469: 1289: 506: 437: 430: 1309: 2318: 2035:"Intel's Process Roadmap to 2025: with 4nm, 3nm, 20A and 18A?!" 1886:"Intel's Process Roadmap to 2025: With 4nm, 3nm, 20A and 18A?!" 452:
began commercial production of "10 nm" chips in 2016, and
422: 392: 2060:"What Products Use Intel 10nm? SuperFin and 10++ Demystified" 644: 514: 453: 1726:"Intel says not to expect mainstream 10nm chips until 2H19" 1637: 639: 571: 526: 518: 492: 491:
nm" process the following year. In 2012, Samsung announced
449: 433: 411: 304: 2175:"Exclusive - GLOBALFOUNDRIES discloses 7nm process detail" 1926:"Intel 10nm density is 2.7X improved over its 14nm node" 1978:"Samsung Foundry Announces 10nm SoC In Mass-Production" 909: 487:
In 2011, Samsung announced plans to introduce the "10
429:
technology that is a non-planar evolution of planar
425:(fin field-effect transistor) technology, a type of 2295:
Micron Delivers Industry's First 1α DRAM Technology
2277:"Teardown: Samsung's D1z DRAM with EUV Lithography" 1546:"Samsung Mass Producing 128Gb 3-bit MLC NAND Flash" 540:In April 2013, Samsung announced that it had begun 421:All production "10 nm" processes are based on 385:
International Technology Roadmap for Semiconductors
1241: 1801:"VLSI 2018: Samsung's 8nm 8LPP, a 10nm extension" 1414:"Intel's Gelsinger Sees Clear Path To 10nm Chips" 577:On 21 April 2017, Samsung started shipping their 2340: 1440:"MIT: Optical lithography good to 12 nanometers" 535: 1466:"World's Largest Fabrication Facility, Line-16" 1259: 27:For the length in general and comparison, see 2139:"Qualcomm Snapdragon 835 First to 10 nm" 1748:"Intel's First 10nm Processor Lands In China" 589:chips using the "10 nm" FinFET process. 360: 2131: 1671: 1538: 1411: 600:markets and with much of the chip disabled. 2148: 2125:"14nm 16nm 10nm and 7nm - What we know now" 1332:"A Brief History of Process Node Evolution" 1770:"VLSI 2018: Samsung's 11nm nodelet, 11LPP" 592:On 12 September 2017, Apple announced the 367: 353: 1858: 2298:(press release), Micron, 26 January 2021 1861:"Intel guts 10nm to get it out the door" 2264:(press release), Samsung, 25 March 2020 2248:(press release), Samsung, 25 March 2019 2032: 1628: 1626: 1624: 14: 2341: 2224: 2206: 1446:from the original on 25 September 2012 2172: 2122: 1975: 1952:"Let's Clear Up the Node Naming Mess" 1898: 1825: 1795: 1793: 1791: 498:chips that are produced using the "10 2275:Choe, Jeongdong (18 February 2021), 2274: 1949: 1901:"TSMC Announces 6-Nanometer Process" 1859:Demerjian, Charlie (2 August 2018). 1621: 2173:Jones, Scotten (25 February 2024). 2123:Jones, Scotten (25 February 2024). 1674:"10nm Rollout Marching Right Along" 585:tablets powered with TSMC-produced 24: 2209:"Why DRAM is stuck in a 10nm trap" 2187: 2026: 2013: 1994: 1788: 1612:"triple patterning for 10nm metal" 1420:from the original on 25 April 2009 1355: 1329: 1231: 1228: 1225: 1222: 1216: 1213: 1210: 1207: 1201: 1198: 1195: 1192: 1186: 1183: 1180: 1177: 1171: 1168: 1165: 1162: 1157: 1154: 1151: 1148: 1145: 1139: 1136: 1130: 1127: 1124: 1121: 1115: 1112: 1109: 1106: 1100: 1097: 1094: 1091: 1073: 1070: 1067: 1064: 1058: 1055: 1052: 1049: 1040: 1037: 1034: 1031: 1026: 1023: 1020: 1017: 1014: 1008: 1005: 996: 993: 990: 987: 966: 962: 954: 951: 948: 945: 942: 939: 936: 933: 930: 927: 924: 915: 912: 25: 2360: 1317:"No More Nanometers – EEJournal" 614: 525:during 2017–2018, moving on to " 53: 2286: 2268: 2252: 2236: 2225:Shilov, Anton (21 March 2019), 2218: 2207:Mellor, Chris (13 April 2020), 2200: 2181: 2166: 2116: 2092: 2076:. 28 March 2017. Archived from 2066: 2052: 2007: 1988: 1969: 1943: 1918: 1892: 1878: 1852: 1838: 1819: 1762: 1740: 1718: 1696: 1665: 1651: 1604: 1586: 1570: 1554:. 11 April 2013. Archived from 1512: 897: 881: 1899:Schor, David (16 April 2019). 1826:James, Dave (September 2020). 1484: 1458: 1432: 1405: 1387: 1369: 1349: 1323: 700:Transistor density (MTr / mm) 13: 1: 2033:Cutress, Ian (26 July 2021). 1303: 1282: 1275: 560:announced mass production of 536:Technology production history 463: 456:later began production of "10 1950:Bohr, Mark (28 March 2017). 1266:Dynamic random-access memory 473:was projected to be 11  7: 2156:"10 nm lithography process" 801:Transistor fin height (nm) 726:Transistor gate pitch (nm) 10: 2365: 1412:Damon Poeter (July 2008). 1263: 778:Transistor fin pitch (nm) 619: 513:had skipped "10 nm", 26: 903:Intel uses this formula: 821: 812: 809: 795: 786: 772: 763: 760: 746: 737: 734: 720: 711: 708: 643: 633: 628: 381:semiconductor fabrication 752:Interconnect pitch (nm) 2322:manufacturing processes 1243: 607:Nvidia released their 36:MOSFET technology node 2143:Samsung 10LPE process 1244: 887:For 10nm ESF renamed 568:for its metal layer. 1383:. 10 September 2016. 907: 853:2016 risk production 845:2018 risk production 631:Ground Rules (2015) 389:10 nanometer process 387:(ITRS) defines the " 1976:Frumusanu, Andrei. 1848:. 4 September 2020. 1472:. 26 September 2011 558:Samsung Electronics 460:nm" chips in 2018. 2213:blocksandfiles.com 1672:techinsights.com. 1500:. 15 November 2012 1260:DRAM "10 nm class" 1239: 839:2017 Q4 production 836:2016 Q4 production 416:transistor density 2337: 2336: 2327:Succeeded by 2231:www.anandtech.com 2194:www.anandtech.com 2020:www.anandtech.com 2001:www.anandtech.com 1982:www.anandtech.com 1634:"10nm Technology" 1330:Shukla, Priyank. 1235: 1221: 1206: 1191: 1176: 1144: 1135: 1120: 1105: 1077: 1063: 1048: 1013: 1004: 923: 877: 876: 629:ITRS Logic Device 609:GeForce 30 series 566:triple patterning 552:chips using a "10 427:multi-gate MOSFET 377: 376: 16:(Redirected from 2356: 2308:Preceded by 2305: 2304: 2300: 2299: 2290: 2284: 2283: 2272: 2266: 2265: 2256: 2250: 2249: 2240: 2234: 2233: 2222: 2216: 2215: 2204: 2198: 2197: 2185: 2179: 2178: 2170: 2164: 2163: 2152: 2146: 2145: 2135: 2129: 2128: 2120: 2114: 2113: 2111: 2109: 2104: 2096: 2090: 2089: 2087: 2085: 2080:on 30 March 2017 2070: 2064: 2063: 2056: 2050: 2049: 2047: 2045: 2030: 2024: 2023: 2011: 2005: 2004: 1992: 1986: 1985: 1973: 1967: 1966: 1964: 1962: 1947: 1941: 1940: 1938: 1936: 1922: 1916: 1915: 1913: 1911: 1896: 1890: 1889: 1882: 1876: 1875: 1873: 1871: 1856: 1850: 1849: 1842: 1836: 1835: 1823: 1817: 1816: 1814: 1812: 1797: 1786: 1785: 1783: 1781: 1766: 1760: 1759: 1757: 1755: 1744: 1738: 1737: 1735: 1733: 1722: 1716: 1715: 1713: 1711: 1700: 1694: 1693: 1691: 1689: 1684:on 3 August 2017 1680:. Archived from 1678:techinsights.com 1669: 1663: 1662: 1655: 1649: 1648: 1646: 1644: 1630: 1619: 1618: 1616: 1608: 1602: 1601: 1598:news.samsung.com 1590: 1584: 1583: 1574: 1568: 1567: 1565: 1563: 1542: 1536: 1535: 1533: 1531: 1526:on 4 August 2018 1522:. Archived from 1516: 1510: 1509: 1507: 1505: 1488: 1482: 1481: 1479: 1477: 1462: 1456: 1455: 1453: 1451: 1436: 1430: 1429: 1427: 1425: 1409: 1403: 1402: 1401:. 12 March 2018. 1391: 1385: 1384: 1373: 1367: 1366: 1353: 1347: 1346: 1344: 1342: 1336:design-reuse.com 1327: 1321: 1320: 1313: 1287: 1284: 1280: 1277: 1249: 1248: 1246: 1245: 1240: 1238: 1237: 1236: 1234: 1219: 1204: 1189: 1174: 1160: 1142: 1133: 1118: 1103: 1089: 1078: 1076: 1061: 1046: 1029: 1011: 1002: 985: 974: 973: 961: 921: 901: 895: 885: 867:2020 production 858:2018 production 855:2017 production 850:2021 production 827:Production year 624: 623: 555: 546:multi-level cell 501: 490: 459: 442:multi-level cell 369: 362: 355: 325:Transistor count 278: 260: 251: 242: 233: 224: 215: 206: 197: 188: 179: 170: 125: 116: 107: 98: 89: 80: 57: 39: 38: 21: 2364: 2363: 2359: 2358: 2357: 2355: 2354: 2353: 2339: 2338: 2328: 2309: 2303: 2292: 2291: 2287: 2281:www.eetimes.com 2273: 2269: 2258: 2257: 2253: 2242: 2241: 2237: 2223: 2219: 2205: 2201: 2188:Shilov, Anton. 2186: 2182: 2171: 2167: 2154: 2153: 2149: 2137: 2136: 2132: 2121: 2117: 2107: 2105: 2102: 2098: 2097: 2093: 2083: 2081: 2072: 2071: 2067: 2058: 2057: 2053: 2043: 2041: 2031: 2027: 2014:Shilov, Anton. 2012: 2008: 1995:Shilov, Anton. 1993: 1989: 1974: 1970: 1960: 1958: 1948: 1944: 1934: 1932: 1924: 1923: 1919: 1909: 1907: 1897: 1893: 1884: 1883: 1879: 1869: 1867: 1857: 1853: 1844: 1843: 1839: 1832:www.pcgamer.com 1824: 1820: 1810: 1808: 1799: 1798: 1789: 1779: 1777: 1768: 1767: 1763: 1753: 1751: 1746: 1745: 1741: 1731: 1729: 1724: 1723: 1719: 1709: 1707: 1706:. 29 April 2018 1702: 1701: 1697: 1687: 1685: 1670: 1666: 1657: 1656: 1652: 1642: 1640: 1632: 1631: 1622: 1614: 1610: 1609: 1605: 1592: 1591: 1587: 1576: 1575: 1571: 1561: 1559: 1558:on 21 June 2019 1544: 1543: 1539: 1529: 1527: 1518: 1517: 1513: 1503: 1501: 1490: 1489: 1485: 1475: 1473: 1464: 1463: 1459: 1449: 1447: 1438: 1437: 1433: 1423: 1421: 1410: 1406: 1393: 1392: 1388: 1375: 1374: 1370: 1354: 1350: 1340: 1338: 1328: 1324: 1319:. 23 July 2020. 1315: 1314: 1310: 1306: 1285: 1278: 1268: 1262: 1253: 1252: 1161: 1090: 1088: 1030: 986: 984: 969: 965: 957: 911: 910: 908: 905: 904: 902: 898: 886: 882: 868: 859: 854: 847:2019 production 846: 842:2018 production 694: 686: 681: 676: 671: 666: 661: 630: 622: 617: 553: 542:mass production 538: 511:GlobalFoundries 499: 488: 466: 457: 408:GlobalFoundries 396:technology node 373: 344: 340:Nanoelectronics 291: 285: 276: 267: 258: 249: 240: 231: 222: 213: 204: 195: 186: 177: 168: 123: 114: 105: 96: 87: 78: 65: 46: 44: 37: 32: 23: 22: 15: 12: 11: 5: 2362: 2352: 2351: 2335: 2334: 2325: 2315: 2302: 2301: 2285: 2267: 2251: 2235: 2217: 2199: 2180: 2165: 2147: 2130: 2115: 2091: 2065: 2051: 2025: 2006: 1987: 1968: 1956:Intel Newsroom 1942: 1917: 1891: 1877: 1851: 1837: 1818: 1787: 1776:. 30 June 2018 1761: 1739: 1728:. 28 July 2018 1717: 1695: 1664: 1650: 1620: 1603: 1585: 1582:, October 2016 1569: 1551:Tom's Hardware 1537: 1520:"10nm rollout" 1511: 1483: 1457: 1431: 1404: 1386: 1368: 1356:Hruska, Joel. 1348: 1322: 1307: 1305: 1302: 1264:Main article: 1261: 1258: 1251: 1250: 1233: 1230: 1227: 1224: 1218: 1215: 1212: 1209: 1203: 1200: 1197: 1194: 1188: 1185: 1182: 1179: 1173: 1170: 1167: 1164: 1159: 1156: 1153: 1150: 1147: 1141: 1138: 1132: 1129: 1126: 1123: 1117: 1114: 1111: 1108: 1102: 1099: 1096: 1093: 1087: 1084: 1081: 1075: 1072: 1069: 1066: 1060: 1057: 1054: 1051: 1045: 1042: 1039: 1036: 1033: 1028: 1025: 1022: 1019: 1016: 1010: 1007: 1001: 998: 995: 992: 989: 983: 980: 977: 972: 968: 964: 960: 956: 953: 950: 947: 944: 941: 938: 935: 932: 929: 926: 920: 917: 914: 896: 879: 878: 875: 874: 865: 856: 851: 848: 843: 840: 837: 834: 831: 828: 824: 823: 820: 817: 814: 811: 808: 805: 802: 798: 797: 794: 791: 788: 785: 782: 779: 775: 774: 771: 768: 765: 762: 759: 756: 753: 749: 748: 745: 742: 739: 736: 733: 730: 727: 723: 722: 719: 716: 713: 710: 707: 704: 701: 697: 696: 691: 688: 683: 678: 673: 668: 663: 658: 657:11/10 nm 655: 654:16/14 nm 652: 648: 647: 642: 637: 632: 627: 621: 618: 616: 613: 537: 534: 465: 462: 398:following the 375: 374: 372: 371: 364: 357: 349: 346: 345: 343: 342: 337: 332: 327: 322: 317: 307: 302: 297: 290: 287: 286: 284: 283: 272: 269: 268: 266: 265: 256: 247: 238: 229: 220: 211: 202: 193: 184: 175: 166: 160: 154: 148: 142: 136: 130: 121: 112: 103: 94: 85: 75: 72: 71: 63:MOSFET scaling 59: 58: 50: 49: 35: 9: 6: 4: 3: 2: 2361: 2350: 2347: 2346: 2344: 2333: 2332: 2326: 2324: 2323: 2320: 2316: 2314: 2313: 2307: 2306: 2297: 2296: 2289: 2282: 2278: 2271: 2263: 2262: 2255: 2247: 2246: 2239: 2232: 2228: 2221: 2214: 2210: 2203: 2195: 2191: 2184: 2176: 2169: 2161: 2157: 2151: 2144: 2140: 2134: 2126: 2119: 2101: 2095: 2079: 2075: 2069: 2061: 2055: 2040: 2036: 2029: 2021: 2017: 2010: 2002: 1998: 1991: 1983: 1979: 1972: 1957: 1953: 1946: 1931: 1927: 1921: 1906: 1905:WikiChip Fuse 1902: 1895: 1887: 1881: 1866: 1862: 1855: 1847: 1841: 1833: 1829: 1822: 1807:. 1 July 2018 1806: 1805:WikiChip Fuse 1802: 1796: 1794: 1792: 1775: 1774:WikiChip Fuse 1771: 1765: 1750:. 15 May 2018 1749: 1743: 1727: 1721: 1705: 1699: 1683: 1679: 1675: 1668: 1660: 1654: 1639: 1635: 1629: 1627: 1625: 1613: 1607: 1599: 1595: 1589: 1581: 1580: 1573: 1557: 1553: 1552: 1547: 1541: 1525: 1521: 1515: 1499: 1498: 1493: 1487: 1471: 1467: 1461: 1445: 1441: 1435: 1419: 1415: 1408: 1400: 1399:eejournal.com 1396: 1390: 1382: 1378: 1372: 1364: 1363: 1359: 1352: 1337: 1333: 1326: 1318: 1312: 1308: 1301: 1299: 1293: 1291: 1272: 1267: 1257: 1085: 1082: 1079: 1043: 999: 981: 978: 975: 970: 958: 918: 900: 894: 890: 884: 880: 872: 866: 863: 857: 852: 849: 844: 841: 838: 835: 832: 829: 826: 825: 818: 815: 806: 803: 800: 799: 792: 789: 783: 780: 777: 776: 769: 766: 757: 754: 751: 750: 743: 740: 731: 728: 725: 724: 717: 714: 705: 702: 699: 698: 695:(10 nm) 692: 689: 687:(10 nm) 684: 679: 674: 669: 664: 659: 656: 653: 651:Process name 650: 649: 646: 641: 638: 636: 626: 625: 615:Process nodes 612: 610: 605: 601: 597: 595: 590: 588: 584: 580: 575: 573: 569: 567: 563: 559: 551: 547: 543: 533: 530: 528: 524: 520: 516: 512: 508: 503: 502:nm" process. 497: 494: 485: 483: 482:Pat Gelsinger 478: 476: 472: 461: 455: 451: 447: 443: 439: 435: 432: 428: 424: 419: 417: 413: 409: 403: 401: 397: 394: 390: 386: 382: 370: 365: 363: 358: 356: 351: 350: 348: 347: 341: 338: 336: 333: 331: 330:Semiconductor 328: 326: 323: 321: 318: 315: 311: 308: 306: 303: 301: 298: 296: 293: 292: 289: 288: 281: 275: 274: 271: 270: 263: 257: 254: 248: 245: 239: 236: 230: 227: 221: 218: 212: 209: 203: 200: 194: 191: 185: 182: 176: 173: 167: 164: 161: 158: 155: 152: 149: 146: 143: 140: 137: 134: 131: 128: 122: 119: 113: 110: 104: 101: 95: 92: 86: 83: 77: 76: 74: 73: 69: 68:process nodes 64: 61: 60: 56: 52: 51: 48: 43:Semiconductor 41: 40: 34: 30: 29:10 nanometres 19: 2329: 2317: 2310: 2294: 2288: 2280: 2270: 2260: 2254: 2244: 2238: 2230: 2220: 2212: 2202: 2193: 2183: 2168: 2159: 2150: 2142: 2133: 2118: 2106:. Retrieved 2094: 2082:. Retrieved 2078:the original 2068: 2054: 2042:. Retrieved 2038: 2028: 2019: 2009: 2000: 1990: 1981: 1971: 1959:. Retrieved 1955: 1945: 1933:. Retrieved 1929: 1920: 1908:. Retrieved 1904: 1894: 1880: 1868:. Retrieved 1865:SemiAccurate 1864: 1854: 1840: 1831: 1821: 1809:. Retrieved 1804: 1778:. Retrieved 1773: 1764: 1754:11 September 1752:. Retrieved 1742: 1730:. Retrieved 1720: 1708:. Retrieved 1698: 1686:. Retrieved 1682:the original 1677: 1667: 1653: 1641:. Retrieved 1606: 1597: 1588: 1578: 1572: 1560:. Retrieved 1556:the original 1549: 1540: 1528:. Retrieved 1524:the original 1514: 1502:. Retrieved 1495: 1486: 1474:. Retrieved 1460: 1448:. Retrieved 1434: 1422:. Retrieved 1407: 1398: 1389: 1381:wccftech.com 1380: 1371: 1360: 1351: 1339:. Retrieved 1335: 1325: 1311: 1294: 1273: 1269: 1254: 899: 888: 883: 682:(8 nm) 667:(10 nm) 662:(10 nm) 606: 602: 598: 591: 576: 570: 550:flash memory 539: 531: 504: 496:flash memory 486: 479: 467: 446:flash memory 436:technology. 420: 404: 388: 378: 282: ~ 2025 264: – 2022 255: – 2020 246: – 2018 237: – 2016 234: 228: – 2014 219: – 2012 210: – 2010 201: – 2009 192: – 2007 183: – 2005 174: – 2003 165: – 2001 159: – 1999 153: – 1996 147: – 1993 141: – 1990 135: – 1987 129: – 1984 120: – 1981 111: – 1977 102: – 1974 93: – 1971 84: – 1968 33: 18:10 nanometer 2108:27 December 1935:14 November 1870:6 September 1362:ExtremeTech 1286: 2019 1279: 2016 862:Cannon Lake 677:(8 nm) 672:(8 nm) 529:" in 2018. 320:Moore's law 163:130 nm 157:180 nm 151:250 nm 145:350 nm 139:600 nm 133:800 nm 118:1.5 μm 47:fabrication 1961:6 December 1304:References 871:Tiger Lake 587:Apple A10X 464:Background 314:multi-gate 295:Half-nodes 235:10 nm 226:14 nm 217:22 nm 208:28 nm 199:32 nm 190:45 nm 181:65 nm 172:90 nm 91:10 μm 82:20 μm 2039:AnandTech 1086:⋅ 982:⋅ 816:Un­known 790:Un­known 767:Un­known 741:Un­known 715:Un­known 706:Un­known 703:Un­known 594:Apple A11 579:Galaxy S8 480:In 2008, 412:7 nm 391:" as the 280:2 nm 262:3 nm 253:5 nm 244:7 nm 127:1 μm 109:3 μm 100:6 μm 2343:Category 2160:wikichip 2084:30 March 1732:1 August 1710:1 August 1530:4 August 1497:Engadget 1444:Archived 1418:Archived 813:Un­known 764:Un­known 583:iPad Pro 335:Industry 2044:27 July 1688:30 June 1643:30 June 1562:21 June 1504:21 June 1476:21 June 1470:Samsung 1450:20 June 1424:20 June 1290:Samsung 889:Intel 7 721:100.76 693:10nm SF 635:Samsung 620:Foundry 507:Samsung 438:Samsung 431:silicon 400:"14 nm" 300:Density 273:Future 2319:MOSFET 1910:31 May 1811:31 May 1780:31 May 1341:9 July 1298:Micron 1220:  1205:  1190:  1175:  1143:  1134:  1119:  1104:  1062:  1047:  1012:  1003:  922:  891:, see 718:52.51 554:  548:(MLC) 500:  489:  458:  444:(MLC) 423:FinFET 402:node. 393:MOSFET 383:, the 310:Device 115:  45:device 2312:14 nm 2103:(PDF) 1930:HEXUS 1659:"Buy" 1615:(PDF) 833:2017 830:2015 712:61.18 709:51.82 665:10LPP 660:10LPE 645:Intel 523:Apple 515:Intel 454:Intel 2331:7 nm 2110:2018 2086:2017 2046:2021 1963:2018 1937:2018 1912:2019 1872:2024 1813:2019 1782:2019 1756:2018 1734:2018 1712:2018 1690:2017 1645:2019 1638:TSMC 1564:2019 1532:2018 1506:2019 1478:2019 1452:2009 1426:2009 1343:2019 893:7 nm 690:10nm 685:10FF 680:8LPA 675:8LPU 670:8LPP 640:TSMC 572:TSMC 527:7 nm 519:TSMC 493:eMMC 471:DRAM 450:TSMC 434:CMOS 305:CMOS 1288:by 1083:0.4 979:0.6 822:53 819:42 807:42 804:42 796:34 793:36 784:36 781:42 773:36 770:44 758:36 755:56 747:54 744:66 732:48 729:70 562:SoC 544:of 410:' " 379:In 2345:: 2279:, 2229:, 2211:, 2192:. 2158:. 2141:. 2037:. 2018:. 1999:. 1980:. 1954:. 1928:. 1903:. 1863:. 1830:. 1803:. 1790:^ 1772:. 1676:. 1636:. 1623:^ 1596:. 1548:. 1494:. 1468:. 1442:. 1416:. 1397:. 1379:. 1334:. 1283:c. 1276:c. 873:) 864:) 810:49 787:42 761:51 738:64 735:68 509:. 477:. 475:nm 277:00 259:00 250:00 241:00 124:00 106:00 97:00 2196:. 2177:. 2162:. 2127:. 2112:. 2088:. 2062:. 2048:. 2022:. 2003:. 1984:. 1965:. 1939:. 1914:. 1888:. 1874:. 1834:. 1815:. 1784:. 1758:. 1736:. 1714:. 1692:. 1661:. 1647:. 1617:. 1600:. 1566:. 1534:. 1508:. 1480:. 1454:. 1428:. 1365:. 1345:. 1232:a 1229:e 1226:r 1223:A 1217:l 1214:l 1211:e 1208:C 1202:p 1199:o 1196:l 1193:F 1187:p 1184:i 1181:l 1178:F 1172:n 1169:a 1166:c 1163:S 1158:t 1155:n 1152:u 1149:o 1146:C 1140:r 1137:T 1131:p 1128:o 1125:l 1122:F 1116:p 1113:i 1110:l 1107:F 1101:n 1098:a 1095:c 1092:S 1080:+ 1074:a 1071:e 1068:r 1065:A 1059:l 1056:l 1053:e 1050:C 1044:2 1041:D 1038:N 1035:A 1032:N 1027:t 1024:n 1021:u 1018:o 1015:C 1009:r 1006:T 1000:2 997:D 994:N 991:A 988:N 976:= 971:2 967:m 963:m 959:/ 955:s 952:r 949:o 946:t 943:s 940:i 937:s 934:n 931:a 928:r 925:T 919:. 916:o 913:N 869:( 860:( 368:e 361:t 354:v 316:) 312:( 232:0 223:0 214:0 205:0 196:0 187:0 178:0 169:0 88:0 79:0 70:) 66:( 31:. 20:)

Index

10 nanometer
10 nanometres
Semiconductor
device
fabrication


MOSFET scaling
process nodes
20 μm
10 μm
6 μm
3 μm
1.5 μm
1 μm
800 nm
600 nm
350 nm
250 nm
180 nm
130 nm
90 nm
65 nm
45 nm
32 nm
28 nm
22 nm
14 nm
10 nm
7 nm
5 nm
3 nm
2 nm

Text is available under the Creative Commons Attribution-ShareAlike License. Additional terms may apply.