Knowledge

Analog verification

Source 📝

186:, or RF transceiver, represented at the transistor level, is impractical. So instead, the verification proceeds hierarchically. One first builds simple models and tests benches for individual blocks. The block-level test benches are used to confirm that models match the implementation of the blocks and that the implementation matches the block-level specification. Then testbenches are built for the entire analog functional unit and applied to the top-level schematic of that unit with the blocks represented with their now verified models. To further improve the tests, one can perform mixed-level simulation, where the testbench for the functional unit is applied with one or two blocks at the transistor level, and all others at the model level. 167:. However, simply using a simple functional model is not sufficient. It is also necessary to build a comprehensive self-checking testbench, that thoroughly exercises the design and compare its response against a previously written specification for the design. Furthermore, this testbench should be applied in turn to both the model and the design. In this case, the design is represented with a transistor-level schematic. If both the model and the design pass all tests, and if the testbench is comprehensive, then this confirms that the model is consistent with the design and that the design is consistent with the specification. 27: 142:. Discussion of analog verification began in 2005 when it started to become recognized that the analog portion of large mixed-signal chips had become so complex that a significant and ever-increasing number of these chips were being designed with functional errors in the analog portion that prevented them from operating correctly. 150:
Analog verification is built on the idea that transistor-level simulation will always be too slow to provide adequate functional verification. Instead, it is necessary to build simple and efficient models of the blocks that make up the analog portion of the design and use those to verify the design.
91: 63: 233: 206: 70: 44: 110: 77: 131: 59: 48: 257: 252: 84: 37: 179: 8: 175: 135: 170:
Applying a comprehensive testbench to an entire analog functional unit such as an audio
230: 203: 139: 237: 210: 127: 246: 156: 26: 164: 152: 183: 171: 160: 126:
is a methodology for performing functional verification on
16:
Electronics verification methodology for analog circuits
51:. Unsourced material may be challenged and removed. 244: 231:Verification of Complex Analog and RF IC Designs 204:Verification of Complex Analog and RF IC Designs 111:Learn how and when to remove this message 245: 151:Those models are typically written in 145: 49:adding citations to reliable sources 20: 13: 14: 269: 224: 25: 159:, but could also be written in 36:needs additional citations for 196: 1: 202:Henry Chang and Ken Kundert. 189: 7: 10: 274: 215:Proceedings of the IEEE 236:July 10, 2020, at the 209:July 10, 2020, at the 180:Power Management Unit 60:"Analog verification" 45:improve this article 258:IEEE DASC standards 176:power Management IC 136:integrated circuits 124:Analog verification 146:Technical details 121: 120: 113: 95: 265: 253:Hardware testing 218: 217:, February 2007. 200: 116: 109: 105: 102: 96: 94: 53: 29: 21: 273: 272: 268: 267: 266: 264: 263: 262: 243: 242: 238:Wayback Machine 227: 222: 221: 211:Wayback Machine 201: 197: 192: 148: 140:systems on chip 117: 106: 100: 97: 54: 52: 42: 30: 17: 12: 11: 5: 271: 261: 260: 255: 241: 240: 226: 225:External links 223: 220: 219: 194: 193: 191: 188: 147: 144: 119: 118: 33: 31: 24: 15: 9: 6: 4: 3: 2: 270: 259: 256: 254: 251: 250: 248: 239: 235: 232: 229: 228: 216: 212: 208: 205: 199: 195: 187: 185: 181: 177: 173: 168: 166: 162: 158: 154: 143: 141: 137: 133: 129: 125: 115: 112: 104: 101:February 2022 93: 90: 86: 83: 79: 76: 72: 69: 65: 62: –  61: 57: 56:Find sources: 50: 46: 40: 39: 34:This article 32: 28: 23: 22: 19: 214: 198: 169: 149: 132:mixed-signal 123: 122: 107: 98: 88: 81: 74: 67: 55: 43:Please help 38:verification 35: 18: 157:Verilog-AMS 247:Categories 190:References 71:newspapers 234:Archived 207:Archived 165:VHDL-AMS 153:Verilog 134:and RF 85:scholar 184:serdes 128:analog 87:  80:  73:  66:  58:  172:codec 92:JSTOR 78:books 161:VHDL 138:and 64:news 163:or 155:or 47:by 249:: 213:. 182:, 178:, 174:, 130:, 114:) 108:( 103:) 99:( 89:· 82:· 75:· 68:· 41:.

Index


verification
improve this article
adding citations to reliable sources
"Analog verification"
news
newspapers
books
scholar
JSTOR
Learn how and when to remove this message
analog
mixed-signal
integrated circuits
systems on chip
Verilog
Verilog-AMS
VHDL
VHDL-AMS
codec
power Management IC
Power Management Unit
serdes
Verification of Complex Analog and RF IC Designs
Archived
Wayback Machine
Verification of Complex Analog and RF IC Designs
Archived
Wayback Machine
Categories

Text is available under the Creative Commons Attribution-ShareAlike License. Additional terms may apply.